Model { Name "motion_turtle" Version 7.9 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.56" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "UTF-8" SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 Created "Wed Jan 31 12:33:46 2001" Creator "root" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "root" ModifiedDateFormat "%" LastModifiedDate "Wed Jan 11 10:07:23 2017" RTWModifiedTimeStamp 406029576 ModelVersionFormat "1.%" ConfigurationManager "none" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions on ShowPortDataTypes on ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" RTW.FcnDefault { $PropName "RTWFcnClass" $ObjectID 1 Name "Auto" FunctionName "motion_turtle_step" InitFunctionName "DefaultBlockDiagram_initialize" } LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "1" ExtModeTrigDuration 3910 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock off BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 2 Version "1.12.0" Array { Type "Handle" Dimension 8 Simulink.SolverCC { $ObjectID 3 Version "1.12.0" StartTime "0.0" StopTime "3600*2" AbsTol "auto" FixedStep "Ts" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" EnableConcurrentExecution off ConcurrentTasks off Solver "ode1" SolverName "ode1" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 4 Version "1.12.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints off MaxDataPoints "4000*3600" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput off SaveState off SignalLogging off DSMLogging on InspectSignalLogs off SaveTime off ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 5 Version "1.12.0" BlockReduction off BooleanDataType off ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseFloatMulNetSlope off UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode on LifeSpan "1" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off ParallelExecutionInRapidAccelerator on } Simulink.DebuggingCC { $ObjectID 6 Version "1.12.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "none" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "warning" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "none" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "warning" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "None" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnconditionalTransitionShadowingDiag "warning" } Simulink.HardwareCC { $ObjectID 7 Version "1.12.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 64 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "LittleEndian" TargetWordSize 64 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "MATLAB Host" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 8 Version "1.12.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 9 Version "1.12.0" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 10 Version "1.12.0" SystemTargetFile "mtt.tlc" GenCodeOnly off MakeCommand "make_rtw CC=\"ccache gcc\" OPTS=\"-DNETIF_ID=1 -D_GNU_SOURCE -DPRIO=99 -DSVN_VER=8269 -O2 -g -W\"" GenerateMakefile on TemplateMakefile "mtt_unix.tmf" Description "Multitasking Target for Linux (EXPERIMENTAL)" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ProcessScript "mtt_make_rtw_hook" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off CustomHeaderCode "#include \"debug_drawing.h\"" CustomInclude "../ ../includes ./src ../Libs/ipcomm2 ../Libs ../Libs/GeneralFunctions ../Libs/multicast ../Globa" "l_par ./src/refbox_handler/ ./src/pass_handler/ ./src/mu_positioning_lib/ /usr/local/include/soem /usr/local/includ" "e/gsl ./src/LaserRangeFinder/include/ ./src/LaserRangeFinder/ /home/robocup/svn/trunk/src/Turtle2/Libs/Simulink/Bus" "/busses/" CustomLibrary "/usr/lib/x86_64-linux-gnu/libpng.so ./src/general_robocup_functions.o /usr/lib/libipcomm2_server." "so /usr/lib/libipcomm2_client.so /usr/lib/librtdb.so /usr/lib/libgeneral_functions.a /usr/local/lib/libgslcblas.so " "/usr/local/lib/libgsl.so /usr/local/lib/libc_urg.so /usr/local/lib/libc_urg_connection.so /usr/local/lib/libc_urg_s" "ystem.so /usr/local/lib/libsoem.so " CustomTerminator "cleanupDebugDrawing();" IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime on GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off RTWCompilerOptimization "Custom" RTWCustomCompilerOptimizations "-O2 -g -W" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 11 Version "1.12.0" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses off IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement on IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.ERTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 12 Version "1.12.0" TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" CodeReplacementLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" CodeExecutionProfiling off ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Use local settings" CombineOutputUpdateFcns on CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant on IncludeFileDelimiter "Auto" ERTCustomFileBanners on SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant on CPPClassGenCompliant on AutosarCompliant off GRTInterface off GenerateErtSFunction off CreateSILPILBlock "None" CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" GenerateASAP2 off ExtMode off ExtModeTransport 0 ExtModeStaticAlloc off ExtModeStaticAllocSize 1000000 ExtModeTesting off ExtModeMexFile "noextcomm" ExtModeIntrfLevel "Level1" InlinedParameterPlacement "NonHierarchical" TargetOS "BareBoardExample" MultiInstanceErrorCode "Error" RateGroupingCode on RootIOFormat "Individual arguments" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off ERTSrcFileBannerTemplate "ert_code_template.cgt" ERTHdrFileBannerTemplate "ert_code_template.cgt" ERTDataSrcFileTemplate "ert_code_template.cgt" ERTDataHdrFileTemplate "ert_code_template.cgt" ERTCustomFileTemplate "example_file_process.tlc" CoverageDialogOpen "off" EnableDataOwnership off SignalDisplayLevel 10 ParamTuneLevel 10 GlobalDataDefinition "Auto" DataDefinitionFile "global.c" GlobalDataReference "Auto" ERTFilePackagingFormat "Modular" DataReferenceFile "global.h" PreserveExpressionOrder off PreserveIfCondition off ConvertIfToSwitch off PreserveExternInFcnDecls on SuppressUnreachableDefaultCases off EnableUserReplacementTypes off Array { Type "Struct" Dimension 1 MATStruct { double "" single "" int32 "" int16 "" int8 "" uint32 "" uint16 "" uint8 "" boolean "" int "" uint "" char "" } PropName "ReplacementTypes" } MemSecPackage "--- None ---" MemSecDataConstants "Default" MemSecDataIO "Default" MemSecDataInternal "Default" MemSecDataParameters "Default" MemSecFuncInitTerm "Default" MemSecFuncExecute "Default" MemSecFuncSharedUtil "Default" CodeProfilingInstrumentation off } PropName "Components" } } PropName "Components" } Name "Configuration" ExtraOptions "-aGenerateTraceInfo=0 " CurrentDlgPage "Code Generation/Templates" ConfigPrmDlgPosition [ 66, 52, 1114, 788 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 2 } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } BlockParameterDefaults { Block { BlockType Abs ZeroCross on SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on } Block { BlockType BusCreator Inputs "4" DisplayOption "none" OutDataTypeStr "Inherit: auto" NonVirtualBus off } Block { BlockType BusSelector OutputAsBus off } Block { BlockType Clock DisplayTime off Decimation "10" } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType DataTypeConversion OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit via back propagation" LockScale off ConvertRealWorld "Real World Value (RWV)" RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Demux Outputs "4" DisplayOption "none" BusSelectionMode off } Block { BlockType DiscretePulseGenerator PulseType "Sample based" TimeSource "Use simulation time" Amplitude "1" Period "2" PulseWidth "1" PhaseDelay "0" SampleTime "1" VectorParams1D on } Block { BlockType DiscreteTransferFcn NumeratorSource "Dialog" Numerator "[1]" DenominatorSource "Dialog" Denominator "[1 0.5]" InitialStatesSource "Dialog" InitialStates "0" InputProcessing "Elements as channels (sample based)" ExternalReset "None" SampleTime "-1" a0EqualsOne off NumCoefMin "[]" NumCoefMax "[]" DenCoefMin "[]" DenCoefMax "[]" OutMin "[]" OutMax "[]" StateDataTypeStr "Inherit: Same as input" NumCoefDataTypeStr "Inherit: Inherit via internal rule" DenCoefDataTypeStr "Inherit: Inherit via internal rule" NumProductDataTypeStr "Inherit: Inherit via internal rule" DenProductDataTypeStr "Inherit: Inherit via internal rule" NumAccumDataTypeStr "Inherit: Inherit via internal rule" DenAccumDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow off StateMustResolveToSignalObject off RTWStateStorageClass "Auto" } Block { BlockType Display Format "short" Decimation "10" Floating off SampleTime "-1" } Block { BlockType EnablePort StatesWhenEnabling "held" PropagateVarSize "Only when enabling" ShowOutputPort off ZeroCross on PortDimensions "1" SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeStr "double" Interpolate on } Block { BlockType From IconDisplay "Tag" TagVisibility "local" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Goto IconDisplay "Tag" TagVisibility "local" } Block { BlockType Ground } Block { BlockType HitCross HitCrossingOffset "0" HitCrossingDirection "either" ShowOutputPort on ZeroCross on SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType InportShadow OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" Interpolate on } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType Logic Operator "AND" Inputs "2" IconShape "rectangular" AllPortsSameDT on OutDataTypeStr "Inherit: Logical (see Configuration Parameters: Optimization)" SampleTime "-1" } Block { BlockType Memory X0 "0" InheritSampleTime off LinearizeMemory off LinearizeAsDelay off StateMustResolveToSignalObject off RTWStateStorageClass "Auto" } Block { BlockType MultiPortSwitch DataPortOrder "One-based contiguous" Inputs "3" DataPortIndices "{1,2,3}" DataPortForDefault "Last data port" DiagnosticForDefault "Error" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit via internal rule" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" AllowDiffInputSizes off } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Product Inputs "2" Multiplication "Element-wise(.*)" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType RateTransition Integrity on Deterministic on X0 "0" OutPortSampleTimeOpt "Specify" OutPortSampleTimeMultiple "1" OutPortSampleTime "-1" } Block { BlockType S-Function FunctionName "system" SFunctionModules "''" PortCounts "[]" SFunctionDeploymentMode off } Block { BlockType Selector NumberOfDimensions "1" IndexMode "One-based" InputPortWidth "-1" SampleTime "-1" } Block { BlockType Step Time "1" Before "0" After "1" SampleTime "-1" VectorParams1D on ZeroCross on } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" SFBlockType "NONE" Variant off GeneratePreprocessorConditionals off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Switch Criteria "u2 >= Threshold" Threshold "0" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit via internal rule" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on ZeroCross on SampleTime "-1" AllowDiffInputSizes off } Block { BlockType Terminator } Block { BlockType TransferFcn Numerator "[1]" Denominator "[1 2 1]" AbsoluteTolerance "auto" ContinuousStateAttributes "''" Realization "auto" } Block { BlockType Trigonometry Operator "sin" ApproximationMethod "None" NumberOfIterations "11" OutputSignalType "auto" SampleTime "-1" } Block { BlockType UnitDelay X0 "0" InputProcessing "Inherited" SampleTime "1" StateMustResolveToSignalObject off RTWStateStorageClass "Auto" HasFrameUpgradeWarning on } Block { BlockType VariableTransportDelay VariableDelayType "Variable time delay" MaximumDelay "1" InitialOutput "0" MaximumPoints "1024" FixedBuffer off ZeroDelay off TransDelayFeedthrough off PadeOrder "0" AbsoluteTolerance "auto" ContinuousStateAttributes "''" } } System { Name "motion_turtle" Location [307, 233, 1146, 690] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "4032" Block { BlockType Display Name "Actual time in s" SID "1" Ports [1] Position [650, 80, 740, 110] Decimation "1" Lockdown off } Block { BlockType Clock Name "Clock" SID "2" Position [605, 85, 625, 105] } Block { BlockType Reference Name "Set CPU affinity" SID "1606" Ports [] Position [626, 283, 726, 348] BackgroundColor "gray" LibraryVersion "1.51" SourceBlock "Robocup/Set CPU affinity" SourceType "Set CPU affinity" cpu_id "cpu0" } Block { BlockType Step Name "Step" SID "6" Position [125, 47, 155, 63] ShowName off Time "0.5" SampleTime "1/get_global_par('MOTION_SAMPLE_RATE')" } Block { BlockType SubSystem Name "decoupled controlled robot" SID "7" Ports [3, 1] Position [360, 88, 550, 322] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskDisplay "image(imread('Turtle28112008.JPG'));" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" System { Name "decoupled controlled robot" Location [66, 81, 1173, 563] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "TRCbus" SID "8" Position [125, 343, 155, 357] BackgroundColor "lightBlue" IconDisplay "Port number" } Block { BlockType Inport Name "controlbus" SID "9" Position [125, 273, 155, 287] BackgroundColor "gray" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Motion_tuning_bus" SID "10" Position [45, 163, 75, 177] Port "3" IconDisplay "Port number" } Block { BlockType S-Function Name "Bus assigner" SID "11" Ports [4, 1] Position [835, 74, 1000, 236] BackgroundColor "cyan" FunctionName "sf_bus_assign" Parameters "sizes,offsets,portwidth,types,bus_is_in,bus_size" EnableBusSupport off MaskType "Assign variables in bus." MaskDescription "Bus assigner to assign values to variables in a bus. \nThis block can overwrite values in an exi" "sting bus as well as \ncreate a bus and write values to certain parameters. Busses \ncan be editted and created usi" "ng the busmanager. Note that \ndeleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |Bus is input |position_global_xyo|robot_active|position_local_xyo|tacho_left|tacho" "_right|arm_left|arm_right|battery_voltage|motor_temperatures|panic_and_reset_switches|local_y_velocity|shoot_lever_" "encoder|SHTstatus|SHTValue|capacitorVoltage|startBackupSoftwareSwitch|velLocalRef_dxdydo|Accelerations|vel_local_xy" "o_dot|BH_Overheat|Counter|CAM1posX|Accelerations|vel_local_xyo_dot|BH_Overheat|BH_Overheat|0 " " |0 |0 |0 |x " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0" " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable" "_pardata_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparams" "bus|tunable_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;bus_is_in=@2;var_name01=&3;var_name02=&4;var_name03=&5;var_name04=&6;var_name0" "5=&7;var_name06=&8;var_name07=&9;var_name08=&10;var_name09=&11;var_name10=&12;var_name11=&13;var_name12=&14;var" "_name13=&15;var_name14=&16;var_name15=&17;var_name16=&18;var_name17=&19;var_name18=&20;var_name19=&21;var_name2" "0=&22;var_name21=&23;var_name22=&24;var_name23=&25;var_name24=&26;var_name25=&27;var_name26=&28;var_name27=&29;" "var_name28=&30;var_name29=&31;var_name30=&32;var_name31=&33;var_name32=&34;var_name33=&35;var_name34=&36;var_na" "me35=&37;var_name36=&38;var_name37=&39;var_name38=&40;var_name39=&41;var_name40=&42;var_name41=&43;var_name42=&" "44;var_name43=&45;var_name44=&46;var_name45=&47;var_name46=&48;var_name47=&49;var_name48=&50;var_name49=&51;var" "_name50=&52;var_name51=&53;var_name52=&54;var_name53=&55;var_name54=&56;var_name55=&57;var_name56=&58;var_name5" "7=&59;var_name58=&60;var_name59=&61;var_name60=&62;var_name61=&63;var_name62=&64;var_name63=&65;var_name64=&66;" "var_name65=&67;var_name66=&68;var_name67=&69;var_name68=&70;var_name69=&71;var_name70=&72;var_name71=&73;var_na" "me72=&74;var_name73=&75;var_name74=&76;var_name75=&77;var_name76=&78;var_name77=&79;var_name78=&80;var_name79=&" "81;var_name80=&82;var_name81=&83;var_name82=&84;var_name83=&85;var_name84=&86;var_name85=&87;var_name86=&88;var" "_name87=&89;var_name88=&90;var_name89=&91;var_name90=&92;var_name91=&93;var_name92=&94;var_name93=&95;var_name9" "4=&96;var_name95=&97;var_name96=&98;var_name97=&99;var_name98=&100;var_name99=&101;var_name100=&102;selected_bu" "s=&103;var_ids=&104;checked_var_ids=&105;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_assign('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "|||||||||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on" MaskInitialization "mask_sf_bus_assign('init');\n" MaskSelfModifiable on MaskDisplay "port_label('input',1,'robot_active');\nport_label('input',2,'position_local_xyo[3]');\nport_" "label('input',3,'tacho_left');\nport_label('input',4,'tacho_right');\nport_label('input',5,'arm_left');\nport_l" "abel('input',6,'arm_right');\nport_label('input',7,'battery_voltage');\nport_label('input',8,'motor_temperature" "s[3]');\nport_label('input',9,'panic_and_reset_switches[2]');\nport_label('input',10,'startBackupSoftwareSwitch" "');\nport_label('input',11,'shoot_lever_encoder');\nport_label('input',12,'SHTstatus');\nport_label('input',13," "'SHTValue');\nport_label('input',14,'capacitorVoltage');\nport_label('input',15,'Accelerations[3]');\nport_labe" "l('output',1,'motionbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "motionbus|off|off|on|on|on|on|on|on|on|on|on|off|on|on|on|on|on|off|on|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|motionbus|2,3,4,5,6,7," "8,9,11,13,14,15,16,17,18,20,22,29,30,31,|3,4,5,6,7,8,9,11,13,20,15,16,17,18,29," } Block { BlockType S-Function Name "Bus decoder" SID "19" Ports [1, 1] Position [165, 105, 310, 135] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using" " the busmanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |turtleID|teamColor|blueIsHome|roleIDs|refboxRoleIDs|refboxTask|refboxTaskT" "rigger|destination_xyo|roleAssignerMode|penaltyDemoMode|notBallHandlingDemo|joystick_v_xyo|joystickCommand|joys" "tickKickEffort|joystickOnOff|t_RC|getKinectPhoto|coachID|0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |x |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |memory varia" "ble|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable" "_pardata_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparams" "bus|tunable_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name" "06=&7;var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;va" "r_name14=&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name" "21=&22;var_name22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29" ";var_name29=&30;var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_n" "ame36=&37;var_name37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=" "&44;var_name44=&45;var_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;va" "r_name51=&52;var_name52=&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name" "58=&59;var_name59=&60;var_name60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66" ";var_name66=&67;var_name67=&68;var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_n" "ame73=&74;var_name74=&75;var_name75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=" "&81;var_name81=&82;var_name82=&83;var_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;va" "r_name88=&89;var_name89=&90;var_name90=&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name" "95=&96;var_name96=&97;var_name97=&98;var_name98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=" "&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'fastStopFlag');\nport_label('output',2,'ballHandlingControl[2]');\npo" "rt_label('output',3,'shootLeverAngleMotor');\nport_label('output',4,'powerOnOff');\nport_label('output',5,'ampl" "ifiersOnOff');\nport_label('output',6,'LEDResetPanicSwitchButton');\nport_label('output',7,'LEDBlue');\nport_la" "bel('output',8,'LEDAmber');\nport_label('output',9,'keeperFrame_ulr[3]');\nport_label('output',10,'SHTControl')" ";\nport_label('output',11,'SHTDutyCycle');\nport_label('input',1,'controlbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "controlbus|off|on|on|off|off|on|on|on|on|on|on|on|off|off|off|off|off|off|on|on|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|controlbus|1,2,3,4,5," "8,9,10,11,12,13,14,16,17,18,19,23,24,25,28,29,30,31,32,|28,25,8,2,3,9,10,11,12,13,14," } Block { BlockType DataTypeConversion Name "Data Type Conversion" SID "21" Position [1115, 558, 1190, 592] ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType DataTypeConversion Name "Data Type Conversion1" SID "22" Position [330, 156, 370, 184] ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Demux Name "Demux1" SID "23" Ports [1, 2] Position [635, 216, 640, 309] BackgroundColor "black" ShowName off Outputs "2" DisplayOption "bar" } Block { BlockType Gain Name "Gain2" SID "25" Position [1035, 595, 1065, 625] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType HitCross Name "Hit \nCrossing" SID "26" Ports [1, 1] Position [1145, 105, 1175, 135] ShowName off HitCrossingOffset "0.5" HitCrossingDirection "falling" } Block { BlockType SubSystem Name "Low Level Control" SID "27" Ports [5, 3] Position [460, 58, 620, 182] BackgroundColor "gray" AttributesFormatString "%" LinkData { BlockName "Keeper/Dead Zone" DialogParameters { LowerValue "-0.02" UpperValue "0.02" } } BlockChoice "Turtle" TemplateBlock "low_level_control_lib/Low Level Control" MemberBlocks "Keeper,Turtle,Turtle4W" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Low Level Control" Location [148, 182, 646, 482] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "744" Block { BlockType Inport Name "enc" SID "27::56" Position [20, 40, 40, 60] IconDisplay "Port number" } Block { BlockType Inport Name "poslocal_ref" SID "27::57" Position [20, 80, 40, 100] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "noBallHandlingDemo" SID "27::58" Position [20, 120, 40, 140] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "motion tuning bus" SID "27::59" Position [20, 160, 40, 180] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "faststop" SID "27::60" Position [20, 200, 40, 220] Port "5" IconDisplay "Port number" } Block { BlockType Reference Name "Turtle" SID "27::55" Ports [5, 3] Position [100, 40, 140, 80] BackgroundColor "gray" LibraryVersion "1.71" SourceBlock "low_level_control_lib/Turtle" SourceType "SubSystem" } Block { BlockType Outport Name "xyo_l" SID "27::62" Position [200, 40, 220, 60] IconDisplay "Port number" } Block { BlockType Outport Name "error" SID "27::685" Position [200, 120, 220, 140] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "dacs" SID "27::63" Position [200, 80, 220, 100] Port "3" IconDisplay "Port number" } Line { SrcBlock "enc" SrcPort 1 DstBlock "Turtle" DstPort 1 } Line { SrcBlock "poslocal_ref" SrcPort 1 DstBlock "Turtle" DstPort 2 } Line { SrcBlock "noBallHandlingDemo" SrcPort 1 DstBlock "Turtle" DstPort 3 } Line { SrcBlock "motion tuning bus" SrcPort 1 DstBlock "Turtle" DstPort 4 } Line { SrcBlock "faststop" SrcPort 1 DstBlock "Turtle" DstPort 5 } Line { SrcBlock "Turtle" SrcPort 1 DstBlock "xyo_l" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 2 DstBlock "error" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 3 DstBlock "dacs" DstPort 1 } } } Block { BlockType Mux Name "Mux1" SID "30" Ports [3, 1] Position [890, 735, 895, 835] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType Mux Name "Mux3" SID "31" Ports [2, 1] Position [900, 488, 905, 557] NamePlacement "alternate" ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Mux Name "Mux4" SID "32" Ports [3, 1] Position [935, 381, 940, 489] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType SubSystem Name "Play audio" SID "33" Ports [1] Position [1355, 99, 1410, 141] BackgroundColor "orange" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskPromptString "audio file name" MaskStyleString "edit" MaskVariables "audio_file_name=@1;" MaskTunableValueString "on" MaskEnableString "on" MaskVisibilityString "on" MaskToolTipString "on" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "'/home/robocup/svn/trunk/src/Turtle2/Libs/sound/arnie.wav'" System { Name "Play audio" Location [5, 77, 1437, 879] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "audio trigger" SID "34" Position [25, 58, 55, 72] IconDisplay "Port number" } Block { BlockType S-Function Name "S-Function" SID "35" Ports [1, 1] Position [80, 27, 170, 103] BackgroundColor "orange" FunctionName "sf_play_audio" Parameters "audio_file_name" EnableBusSupport off } Block { BlockType Terminator Name "Terminator" SID "36" Position [200, 55, 220, 75] } Line { SrcBlock "S-Function" SrcPort 1 Points [0, 0] DstBlock "Terminator" DstPort 1 } Line { SrcBlock "audio trigger" SrcPort 1 Points [0, 0] DstBlock "S-Function" DstPort 1 } } } Block { BlockType Product Name "Product" SID "37" Ports [2, 1] Position [560, 717, 590, 748] ShowName off InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product1" SID "38" Ports [2, 1] Position [560, 772, 590, 803] ShowName off InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType S-Function Name "S-Function" SID "39" Ports [1, 1] Position [975, 419, 1080, 451] ShowName off FunctionName "convert_temperature" EnableBusSupport off } Block { BlockType SubSystem Name "Subsystem" SID "40" Ports [12, 20] Position [665, 140, 840, 835] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem" Location [0, 79, 1680, 1026] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "dacs" SID "41" Position [90, 118, 120, 132] IconDisplay "Port number" } Block { BlockType Inport Name "ball left" SID "42" Position [165, 243, 195, 257] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "ball right" SID "43" Position [165, 293, 195, 307] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "kick motor" SID "44" Position [165, 343, 195, 357] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "power on/off" SID "45" Position [165, 393, 195, 407] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "amplifiers on/off" SID "46" Position [165, 443, 195, 457] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "LED (reset motion)" SID "47" Position [165, 493, 195, 507] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "LED (blue)" SID "48" Position [165, 593, 195, 607] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "LED (amber)" SID "49" Position [165, 643, 195, 657] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "Keeper Frame_ulr" SID "50" Position [50, 743, 80, 757] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "SHTcontrol" SID "53" Position [165, 843, 195, 857] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "SHTdutycycle" SID "54" Position [165, 893, 195, 907] Port "12" IconDisplay "Port number" } Block { BlockType Constant Name "Constant" SID "59" Position [705, 407, 720, 423] ShowName off Value "0" } Block { BlockType Constant Name "Constant1" SID "60" Position [705, 427, 720, 443] ShowName off } Block { BlockType DataTypeConversion Name "Data Type Conversion1" SID "61" Position [680, 557, 720, 573] NamePlacement "alternate" ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType DataTypeConversion Name "Data Type Conversion2" SID "62" Position [680, 592, 720, 608] NamePlacement "alternate" ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType SubSystem Name "Data aquisition" SID "63" Ports [21, 28] Position [295, 42, 635, 1053] BackgroundColor "gray" AttributesFormatString "%" BlockChoice "Turtle" TemplateBlock "data_aquisition_lib/Data aquisition" MemberBlocks "Keeper,Simulator,Turtle,Turtle4W" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Data aquisition" Location [148, 182, 646, 482] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "707" Block { BlockType Inport Name "DAC Drive Motor 1" SID "63::2" Position [20, 40, 40, 60] IconDisplay "Port number" } Block { BlockType Inport Name "DAC Drive Motor 2" SID "63::3" Position [20, 80, 40, 100] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "DAC Drive Motor 3" SID "63::4" Position [20, 120, 40, 140] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "DAC Drive Motor 4" SID "63::5" Position [20, 160, 40, 180] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "DAC Ball Motor 1 (left)" SID "63::6" Position [20, 200, 40, 220] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "DAC Ball Motor 2 (right)" SID "63::7" Position [20, 240, 40, 260] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "DAC Kick Motor" SID "63::8" Position [20, 280, 40, 300] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "Power on/off" SID "63::9" Position [20, 320, 40, 340] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "Amplifiers on/off" SID "63::10" Position [20, 360, 40, 380] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "LED panic switch" SID "63::11" Position [20, 400, 40, 420] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "LED Spare switch" SID "63::12" Position [20, 440, 40, 460] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "LED blue" SID "63::13" Position [20, 480, 40, 500] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "LED amber" SID "63::14" Position [20, 520, 40, 540] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "Keeper right" SID "63::15" Position [20, 560, 40, 580] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "Keeper left" SID "63::16" Position [20, 600, 40, 620] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "Keeper up" SID "63::17" Position [20, 640, 40, 660] Port "16" IconDisplay "Port number" } Block { BlockType Inport Name "SHTcontrol" SID "63::18" Position [20, 680, 40, 700] Port "17" IconDisplay "Port number" } Block { BlockType Inport Name "SHTdutycycle" SID "63::19" Position [20, 720, 40, 740] Port "18" IconDisplay "Port number" } Block { BlockType Inport Name "RS485out" SID "63::20" Position [20, 760, 40, 780] Port "19" IconDisplay "Port number" } Block { BlockType Inport Name "Block ball" SID "63::21" Position [20, 800, 40, 820] Port "20" IconDisplay "Port number" } Block { BlockType Inport Name "CAM1Control" SID "63::22" Position [20, 840, 40, 860] Port "21" IconDisplay "Port number" } Block { BlockType Reference Name "Turtle" SID "63::23" Ports [21, 28] Position [100, 15, 140, 150] BackgroundColor "gray" LibraryVersion "1.321" FontSize 14 SourceBlock "data_aquisition_lib/Turtle" SourceType "SubSystem" } Block { BlockType Outport Name "ENC Drive Motor 1" SID "63::24" Position [200, 40, 220, 60] IconDisplay "Port number" } Block { BlockType Outport Name "ENC Drive Motor 2" SID "63::25" Position [200, 80, 220, 100] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "ENC Drive Motor 3" SID "63::26" Position [200, 120, 220, 140] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "ENC Drive Motor 4" SID "63::27" Position [200, 160, 220, 180] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "ENC Kick Motor" SID "63::28" Position [200, 200, 220, 220] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Arm 1 (left)" SID "63::29" Position [200, 240, 220, 260] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Arm 2 (right)" SID "63::30" Position [200, 280, 220, 300] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Wireless panic switch" SID "63::31" Position [200, 320, 220, 340] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Reset panic switch" SID "63::32" Position [200, 360, 220, 380] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Start backup software switch" SID "63::33" Position [200, 840, 220, 860] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "In/Out field" SID "63::34" Position [200, 400, 220, 420] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "tacho0" SID "63::35" Position [200, 440, 220, 460] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "tacho1" SID "63::36" Position [200, 480, 220, 500] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "battery" SID "63::37" Position [200, 520, 220, 540] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "SHTstatus" SID "63::38" Position [200, 600, 220, 620] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "SHTvalue" SID "63::39" Position [200, 640, 220, 660] Port "16" IconDisplay "Port number" } Block { BlockType Outport Name "Tmotor1" SID "63::40" Position [200, 680, 220, 700] Port "17" IconDisplay "Port number" } Block { BlockType Outport Name "Tmotor2" SID "63::41" Position [200, 720, 220, 740] Port "18" IconDisplay "Port number" } Block { BlockType Outport Name "Tmotor3" SID "63::42" Position [200, 760, 220, 780] Port "19" IconDisplay "Port number" } Block { BlockType Outport Name "AD8" SID "63::43" Position [200, 800, 220, 820] Port "20" IconDisplay "Port number" } Block { BlockType Outport Name "RS485in" SID "63::44" Position [200, 840, 220, 860] Port "21" IconDisplay "Port number" } Block { BlockType Outport Name "Cam1Status" SID "63::45" Position [200, 880, 220, 900] Port "22" IconDisplay "Port number" } Block { BlockType Outport Name "Status" SID "63::46" Position [200, 920, 220, 940] Port "23" IconDisplay "Port number" } Block { BlockType Outport Name "Counter" SID "63::47" Position [200, 960, 220, 980] Port "24" IconDisplay "Port number" } Block { BlockType Outport Name "CAM1posX" SID "63::48" Position [200, 1000, 220, 1020] Port "25" IconDisplay "Port number" } Block { BlockType Outport Name "AccelX" SID "63::49" Position [200, 1040, 220, 1060] Port "26" IconDisplay "Port number" } Block { BlockType Outport Name "AccelY" SID "63::50" Position [200, 1080, 220, 1100] Port "27" IconDisplay "Port number" } Block { BlockType Outport Name "AccelZ" SID "63::51" Position [200, 1120, 220, 1140] Port "28" IconDisplay "Port number" } Line { SrcBlock "DAC Drive Motor 1" SrcPort 1 DstBlock "Turtle" DstPort 1 } Line { SrcBlock "DAC Drive Motor 2" SrcPort 1 DstBlock "Turtle" DstPort 2 } Line { SrcBlock "DAC Drive Motor 3" SrcPort 1 DstBlock "Turtle" DstPort 3 } Line { SrcBlock "DAC Drive Motor 4" SrcPort 1 DstBlock "Turtle" DstPort 4 } Line { SrcBlock "DAC Ball Motor 1 (left)" SrcPort 1 DstBlock "Turtle" DstPort 5 } Line { SrcBlock "DAC Ball Motor 2 (right)" SrcPort 1 DstBlock "Turtle" DstPort 6 } Line { SrcBlock "DAC Kick Motor" SrcPort 1 DstBlock "Turtle" DstPort 7 } Line { SrcBlock "Power on/off" SrcPort 1 DstBlock "Turtle" DstPort 8 } Line { SrcBlock "Amplifiers on/off" SrcPort 1 DstBlock "Turtle" DstPort 9 } Line { SrcBlock "LED panic switch" SrcPort 1 DstBlock "Turtle" DstPort 10 } Line { SrcBlock "LED Spare switch" SrcPort 1 DstBlock "Turtle" DstPort 11 } Line { SrcBlock "LED blue" SrcPort 1 DstBlock "Turtle" DstPort 12 } Line { SrcBlock "LED amber" SrcPort 1 DstBlock "Turtle" DstPort 13 } Line { SrcBlock "Keeper right" SrcPort 1 DstBlock "Turtle" DstPort 14 } Line { SrcBlock "Keeper left" SrcPort 1 DstBlock "Turtle" DstPort 15 } Line { SrcBlock "Keeper up" SrcPort 1 DstBlock "Turtle" DstPort 16 } Line { SrcBlock "SHTcontrol" SrcPort 1 DstBlock "Turtle" DstPort 17 } Line { SrcBlock "SHTdutycycle" SrcPort 1 DstBlock "Turtle" DstPort 18 } Line { SrcBlock "RS485out" SrcPort 1 DstBlock "Turtle" DstPort 19 } Line { SrcBlock "Block ball" SrcPort 1 DstBlock "Turtle" DstPort 20 } Line { SrcBlock "CAM1Control" SrcPort 1 DstBlock "Turtle" DstPort 21 } Line { SrcBlock "Turtle" SrcPort 1 DstBlock "ENC Drive Motor 1" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 2 DstBlock "ENC Drive Motor 2" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 3 DstBlock "ENC Drive Motor 3" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 4 DstBlock "ENC Drive Motor 4" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 5 DstBlock "ENC Kick Motor" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 6 DstBlock "Arm 1 (left)" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 7 DstBlock "Arm 2 (right)" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 8 DstBlock "Wireless panic switch" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 9 DstBlock "Reset panic switch" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 10 DstBlock "Start backup software switch" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 11 DstBlock "In/Out field" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 12 DstBlock "tacho0" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 13 DstBlock "tacho1" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 14 DstBlock "battery" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 15 DstBlock "SHTstatus" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 16 DstBlock "SHTvalue" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 17 DstBlock "Tmotor1" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 18 DstBlock "Tmotor2" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 19 DstBlock "Tmotor3" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 20 DstBlock "AD8" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 21 DstBlock "RS485in" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 22 DstBlock "Cam1Status" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 23 DstBlock "Status" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 24 DstBlock "Counter" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 25 DstBlock "CAM1posX" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 26 DstBlock "AccelX" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 27 DstBlock "AccelY" DstPort 1 } Line { SrcBlock "Turtle" SrcPort 28 DstBlock "AccelZ" DstPort 1 } } } Block { BlockType Demux Name "Demux2" SID "65" Ports [1, 4] Position [185, 24, 190, 226] BackgroundColor "black" ShowName off DisplayOption "bar" } Block { BlockType Demux Name "Demux3" SID "24" Ports [1, 3] Position [120, 695, 125, 805] BackgroundColor "black" ShowName off Outputs "3" DisplayOption "bar" } Block { BlockType Constant Name "Feed\nTUe" SID "66" Position [105, 540, 125, 560] } Block { BlockType Constant Name "Feed\nTUe1" SID "3808" Position [210, 940, 230, 960] ShowName off Value "0" } Block { BlockType Constant Name "Feed\nTUe2" SID "3809" Position [210, 990, 230, 1010] ShowName off Value "0" } Block { BlockType Constant Name "Feed\nTUe3" SID "3810" Position [210, 1040, 230, 1060] ShowName off Value "0" } Block { BlockType Gain Name "Gain2" SID "67" Position [225, 135, 255, 165] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain3" SID "68" Position [225, 185, 255, 215] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain4" SID "69" Position [225, 85, 255, 115] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain5" SID "70" Position [225, 35, 255, 65] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Mux Name "Mux7" SID "72" Ports [4, 1] Position [700, 52, 705, 203] NamePlacement "alternate" ShowName off DisplayOption "bar" } Block { BlockType Switch Name "Switch" SID "73" Position [755, 410, 785, 440] Criteria "u2 > Threshold" Threshold ".5" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType Terminator Name "Terminator1" SID "3799" Position [745, 835, 765, 855] ShowName off } Block { BlockType Terminator Name "Terminator2" SID "3798" Position [745, 800, 765, 820] ShowName off } Block { BlockType Terminator Name "Terminator3" SID "3800" Position [745, 870, 765, 890] ShowName off } Block { BlockType Terminator Name "Terminator4" SID "3801" Position [745, 905, 765, 925] ShowName off } Block { BlockType Terminator Name "Terminator5" SID "3802" Position [745, 765, 765, 785] ShowName off } Block { BlockType Gain Name "static gain sensor" SID "74" Position [695, 515, 725, 545] Gain "3.2" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Outport Name "encs" SID "75" Position [745, 123, 775, 137] IconDisplay "Port number" } Block { BlockType Outport Name "robot_active" SID "76" Position [835, 418, 865, 432] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "tacho left" SID "77" Position [750, 453, 780, 467] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "tacho right" SID "78" Position [750, 488, 780, 502] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "arm left" SID "79" Position [745, 243, 775, 257] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "arm right" SID "80" Position [745, 278, 775, 292] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "battery" SID "81" Position [750, 523, 780, 537] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Tmotor1" SID "82" Position [750, 628, 780, 642] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Tmotor2" SID "83" Position [750, 663, 780, 677] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Tmotor3" SID "84" Position [750, 698, 780, 712] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "switch (panic)" SID "85" Position [745, 313, 775, 327] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "switch (reset panic switch)" SID "86" Position [745, 348, 775, 362] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "switch (start backup software)" SID "87" Position [745, 383, 775, 397] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "enc kick" SID "88" Position [745, 208, 775, 222] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "SHTstatus" SID "89" Position [750, 558, 780, 572] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "SHTvalue" SID "90" Position [750, 593, 780, 607] Port "16" IconDisplay "Port number" } Block { BlockType Outport Name "capacitorVoltage" SID "91" Position [750, 733, 780, 747] Port "17" IconDisplay "Port number" } Block { BlockType Outport Name "AccelX" SID "98" Position [745, 943, 775, 957] Port "18" IconDisplay "Port number" } Block { BlockType Outport Name "AccelY" SID "99" Position [750, 978, 780, 992] Port "19" IconDisplay "Port number" } Block { BlockType Outport Name "AccelZ" SID "100" Position [750, 1013, 780, 1027] Port "20" IconDisplay "Port number" } Line { SrcBlock "static gain sensor" SrcPort 1 DstBlock "battery" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Switch" DstPort 1 } Line { SrcBlock "Constant1" SrcPort 1 DstBlock "Switch" DstPort 3 } Line { SrcBlock "Switch" SrcPort 1 DstBlock "robot_active" DstPort 1 } Line { SrcBlock "Data Type Conversion2" SrcPort 1 DstBlock "SHTvalue" DstPort 1 } Line { SrcBlock "Data Type Conversion1" SrcPort 1 DstBlock "SHTstatus" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 3 DstBlock "Gain2" DstPort 1 } Line { SrcBlock "dacs" SrcPort 1 DstBlock "Demux2" DstPort 1 } Line { SrcBlock "Mux7" SrcPort 1 DstBlock "encs" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 4 DstBlock "Gain3" DstPort 1 } Line { SrcBlock "Gain2" SrcPort 1 DstBlock "Data aquisition" DstPort 3 } Line { SrcBlock "Gain3" SrcPort 1 DstBlock "Data aquisition" DstPort 4 } Line { SrcBlock "ball left" SrcPort 1 DstBlock "Data aquisition" DstPort 5 } Line { SrcBlock "ball right" SrcPort 1 DstBlock "Data aquisition" DstPort 6 } Line { SrcBlock "kick motor" SrcPort 1 DstBlock "Data aquisition" DstPort 7 } Line { SrcBlock "power on/off" SrcPort 1 DstBlock "Data aquisition" DstPort 8 } Line { SrcBlock "amplifiers on/off" SrcPort 1 DstBlock "Data aquisition" DstPort 9 } Line { SrcBlock "LED (reset motion)" SrcPort 1 DstBlock "Data aquisition" DstPort 10 } Line { SrcBlock "Feed\nTUe" SrcPort 1 DstBlock "Data aquisition" DstPort 11 } Line { SrcBlock "LED (blue)" SrcPort 1 DstBlock "Data aquisition" DstPort 12 } Line { SrcBlock "LED (amber)" SrcPort 1 DstBlock "Data aquisition" DstPort 13 } Line { SrcBlock "SHTcontrol" SrcPort 1 DstBlock "Data aquisition" DstPort 17 } Line { SrcBlock "SHTdutycycle" SrcPort 1 DstBlock "Data aquisition" DstPort 18 } Line { SrcBlock "Data aquisition" SrcPort 5 DstBlock "enc kick" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 1 DstBlock "Mux7" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 2 DstBlock "Mux7" DstPort 2 } Line { SrcBlock "Data aquisition" SrcPort 3 DstBlock "Mux7" DstPort 3 } Line { SrcBlock "Data aquisition" SrcPort 4 DstBlock "Mux7" DstPort 4 } Line { SrcBlock "Data aquisition" SrcPort 6 DstBlock "arm left" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 7 DstBlock "arm right" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 8 DstBlock "switch (panic)" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 9 DstBlock "switch (reset panic switch)" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 11 DstBlock "Switch" DstPort 2 } Line { SrcBlock "Data aquisition" SrcPort 12 DstBlock "tacho left" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 13 DstBlock "tacho right" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 14 DstBlock "static gain sensor" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 15 DstBlock "Data Type Conversion1" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 16 DstBlock "Data Type Conversion2" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 17 DstBlock "Tmotor1" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 18 DstBlock "Tmotor2" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 19 DstBlock "Tmotor3" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 20 DstBlock "capacitorVoltage" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 21 DstBlock "Terminator5" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 10 DstBlock "switch (start backup software)" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 22 DstBlock "Terminator2" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 23 DstBlock "Terminator1" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 24 DstBlock "Terminator3" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 25 DstBlock "Terminator4" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 26 DstBlock "AccelX" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 27 DstBlock "AccelY" DstPort 1 } Line { SrcBlock "Data aquisition" SrcPort 28 DstBlock "AccelZ" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 2 DstBlock "Gain4" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 1 DstBlock "Gain5" DstPort 1 } Line { SrcBlock "Gain4" SrcPort 1 DstBlock "Data aquisition" DstPort 2 } Line { SrcBlock "Gain5" SrcPort 1 DstBlock "Data aquisition" DstPort 1 } Line { SrcBlock "Keeper Frame_ulr" SrcPort 1 DstBlock "Demux3" DstPort 1 } Line { SrcBlock "Demux3" SrcPort 2 DstBlock "Data aquisition" DstPort 15 } Line { SrcBlock "Demux3" SrcPort 1 Points [70, 0; 0, 85] DstBlock "Data aquisition" DstPort 16 } Line { SrcBlock "Demux3" SrcPort 3 Points [115, 0; 0, -85] DstBlock "Data aquisition" DstPort 14 } Line { SrcBlock "Feed\nTUe1" SrcPort 1 DstBlock "Data aquisition" DstPort 19 } Line { SrcBlock "Feed\nTUe2" SrcPort 1 DstBlock "Data aquisition" DstPort 20 } Line { SrcBlock "Feed\nTUe3" SrcPort 1 DstBlock "Data aquisition" DstPort 21 } } } Block { BlockType Terminator Name "Terminator3" SID "3888" Position [705, 110, 725, 130] ShowName off } Block { BlockType UnitDelay Name "Unit Delay2" SID "1639" Position [330, 103, 360, 137] ShowName off SampleTime "Ts" } Block { BlockType Outport Name "posLocal_xyo" SID "101" Position [1295, 73, 1325, 87] IconDisplay "Port number" } Block { BlockType Outport Name "motionbus" SID "102" Position [1480, 503, 1510, 517] BackgroundColor "darkGreen" Port "2" IconDisplay "Port number" } Line { SrcBlock "Subsystem" SrcPort 2 Points [145, 0] Branch { Points [0, -70] DstBlock "Hit \nCrossing" DstPort 1 } Branch { Points [310, 0] DstBlock "Bus assigner" DstPort 1 } } Line { SrcBlock "Subsystem" SrcPort 11 DstBlock "Mux3" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 12 DstBlock "Mux3" DstPort 2 } Line { SrcBlock "Subsystem" SrcPort 8 DstBlock "Mux4" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 9 DstBlock "Mux4" DstPort 2 } Line { SrcBlock "Subsystem" SrcPort 10 DstBlock "Mux4" DstPort 3 } Line { SrcBlock "Mux4" SrcPort 1 DstBlock "S-Function" DstPort 1 } Line { SrcBlock "TRCbus" SrcPort 1 DstBlock "Bus decoder" DstPort 1 } Line { SrcBlock "S-Function" SrcPort 1 Points [70, 0; 0, 70] DstBlock "Bus assigner" DstPort 8 } Line { SrcBlock "Hit \nCrossing" SrcPort 1 DstBlock "Play audio" DstPort 1 } Line { SrcBlock "Bus assigner" SrcPort 1 Points [0, 5] DstBlock "motionbus" DstPort 1 } Line { SrcBlock "Bus decoder" SrcPort 1 DstBlock "Unit Delay2" DstPort 1 } Line { SrcBlock "Low Level Control" SrcPort 1 Points [620, 0] Branch { Points [0, 185] DstBlock "Bus assigner" DstPort 2 } Branch { DstBlock "posLocal_xyo" DstPort 1 } } Line { SrcBlock "posLocalRef_xyo" SrcPort 1 DstBlock "Low Level Control" DstPort 2 } Line { SrcBlock "Subsystem" SrcPort 13 DstBlock "Data Type Conversion" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 14 DstBlock "Gain2" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 16 Points [255, 0; 0, 25] DstBlock "Bus assigner" DstPort 13 } Line { SrcBlock "Subsystem" SrcPort 17 Points [235, 0; 0, 30] DstBlock "Bus assigner" DstPort 14 } Line { SrcBlock "controlbus" SrcPort 1 DstBlock "Bus decoder1" DstPort 1 } Line { SrcBlock "Bus decoder1" SrcPort 3 Points [205, 0; 0, 40] DstBlock "Subsystem" DstPort 4 } Line { SrcBlock "Bus decoder1" SrcPort 4 Points [180, 0; 0, 35] DstBlock "Subsystem" DstPort 5 } Line { SrcBlock "Bus decoder1" SrcPort 5 Points [155, 0; 0, 30] DstBlock "Subsystem" DstPort 6 } Line { SrcBlock "Bus decoder1" SrcPort 6 Points [0, 25] DstBlock "Subsystem" DstPort 7 } Line { SrcBlock "Gain2" SrcPort 1 Points [230, 0] DstBlock "Bus assigner" DstPort 11 } Line { SrcBlock "Demux1" SrcPort 2 Points [5, 0] DstBlock "Subsystem" DstPort 3 } Line { SrcBlock "Demux1" SrcPort 1 DstBlock "Subsystem" DstPort 2 } Line { SrcBlock "Bus decoder1" SrcPort 2 Points [310, 0] DstBlock "Demux1" DstPort 1 } Line { SrcBlock "motion tuning bus" SrcPort 1 DstBlock "Low Level Control" DstPort 4 } Line { SrcBlock "Subsystem" SrcPort 18 DstBlock "Mux1" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 19 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "Subsystem" SrcPort 20 DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "Bus assigner" DstPort 15 } Line { SrcBlock "Bus decoder1" SrcPort 1 Points [5, 0] DstBlock "Data Type Conversion1" DstPort 1 } Line { SrcBlock "Data Type Conversion1" SrcPort 1 DstBlock "Low Level Control" DstPort 5 } Line { SrcBlock "Unit Delay2" SrcPort 1 Points [15, 0] Branch { DstBlock "Low Level Control" DstPort 3 } Branch { Points [0, 620; 135, 0] Branch { Points [0, 40] DstBlock "Product1" DstPort 1 } Branch { DstBlock "Product" DstPort 2 } } } Line { SrcBlock "Subsystem" SrcPort 1 Points [5, 0; 0, -110; -420, 0; 0, 25] DstBlock "Low Level Control" DstPort 1 } Line { SrcBlock "Bus decoder1" SrcPort 8 Points [0, 15] DstBlock "Subsystem" DstPort 9 } Line { SrcBlock "Bus decoder1" SrcPort 7 Points [20, 0; 0, 20] DstBlock "Subsystem" DstPort 8 } Line { SrcBlock "Bus decoder1" SrcPort 9 Points [340, 0] DstBlock "Subsystem" DstPort 10 } Line { SrcBlock "Bus decoder1" SrcPort 11 Points [0, 5] DstBlock "Product1" DstPort 2 } Line { SrcBlock "Product1" SrcPort 1 DstBlock "Subsystem" DstPort 12 } Line { SrcBlock "Product" SrcPort 1 DstBlock "Subsystem" DstPort 11 } Line { SrcBlock "Subsystem" SrcPort 3 Points [365, 0; 0, 80] DstBlock "Bus assigner" DstPort 3 } Line { SrcBlock "Subsystem" SrcPort 4 Points [355, 0; 0, 85] DstBlock "Bus assigner" DstPort 4 } Line { SrcBlock "Subsystem" SrcPort 5 Points [345, 0; 0, 90] DstBlock "Bus assigner" DstPort 5 } Line { SrcBlock "Subsystem" SrcPort 6 Points [335, 0; 0, 95] DstBlock "Bus assigner" DstPort 6 } Line { SrcBlock "Subsystem" SrcPort 7 Points [325, 0; 0, 100] DstBlock "Bus assigner" DstPort 7 } Line { SrcBlock "Mux3" SrcPort 1 Points [390, 0] DstBlock "Bus assigner" DstPort 9 } Line { SrcBlock "Data Type Conversion" SrcPort 1 Points [105, 0] DstBlock "Bus assigner" DstPort 10 } Line { SrcBlock "Subsystem" SrcPort 15 Points [280, 0; 0, 20] DstBlock "Bus assigner" DstPort 12 } Line { SrcBlock "Bus decoder1" SrcPort 10 Points [0, -5] DstBlock "Product" DstPort 1 } Line { SrcBlock "Low Level Control" SrcPort 2 DstBlock "Terminator3" DstPort 1 } Line { SrcBlock "Low Level Control" SrcPort 3 Points [10, 0; 0, 25] DstBlock "Subsystem" DstPort 1 } } } Block { BlockType SubSystem Name "filter" SID "104" Ports [1, 1] Position [630, 230, 715, 270] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "filter" Location [608, 318, 1330, 823] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "pos_xyo" SID "105" Position [65, 123, 95, 137] IconDisplay "Port number" } Block { BlockType Demux Name "Demux2" SID "106" Ports [1, 3] Position [175, 13, 180, 247] BackgroundColor "black" ShowName off Outputs "3" DisplayOption "bar" } Block { BlockType Mux Name "Mux1" SID "107" Ports [3, 1] Position [425, 12, 435, 248] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType DiscreteTransferFcn Name "filter" SID "108" Ports [1, 1] Position [270, 32, 330, 68] InputPortMap "u0" Numerator "[1.8890 0 -1.8890]" Denominator "[1.0000 -1.9120 0.9158]" SampleTime "Ts" } Block { BlockType DiscreteTransferFcn Name "filter1" SID "109" Ports [1, 1] Position [270, 112, 330, 148] InputPortMap "u0" Numerator "[1.8890 0 -1.8890]" Denominator "[1.0000 -1.9120 0.9158]" SampleTime "Ts" } Block { BlockType DiscreteTransferFcn Name "filter2" SID "110" Ports [1, 1] Position [270, 192, 330, 228] InputPortMap "u0" Numerator "[1.8890 0 -1.8890]" Denominator "[1.0000 -1.9120 0.9158]" SampleTime "Ts" } Block { BlockType Outport Name "vel_xyo" SID "111" Position [500, 123, 530, 137] IconDisplay "Port number" } Line { SrcBlock "pos_xyo" SrcPort 1 DstBlock "Demux2" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "vel_xyo" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 1 DstBlock "filter" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 2 DstBlock "filter1" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 3 DstBlock "filter2" DstPort 1 } Line { SrcBlock "filter" SrcPort 1 DstBlock "Mux1" DstPort 1 } Line { SrcBlock "filter1" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "filter2" SrcPort 1 DstBlock "Mux1" DstPort 3 } Annotation { SID "112" Position [291, 214] } } } Block { BlockType SubSystem Name "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" SID "113" Ports [5, 2] Position [210, 96, 365, 244] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" Location [66, 156, 1508, 618] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "posLocal_xyo" SID "114" Position [535, 353, 565, 367] IconDisplay "Port number" } Block { BlockType Inport Name "posGlobal_xyo" SID "115" Position [240, 283, 270, 297] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Motion_tuning_bus" SID "116" Position [750, 288, 780, 302] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "controlbus" SID "117" Position [35, 123, 65, 137] BackgroundColor "gray" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "118" Position [920, 288, 950, 302] BackgroundColor "lightBlue" Port "5" IconDisplay "Port number" } Block { BlockType S-Function Name "Bus decoder" SID "119" Ports [1, 4] Position [95, 72, 245, 183] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using" " the busmanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |controlEnable|powerOnOff|amplifiersOnOff|posGlobalRef_xyo|velLocalRefManua" "l_xyo_dot|shootLeverAngleMotor|LEDResetPanicSwitchButton|LEDBlue|LEDAmber|keeperFrame_ulr|SHTControl|SHTDutyCyc" "le|va_max|CPBarm|emergencyStatus|shootLeverState|shotFinished|cur_xydot|ballHandlingControl|fastStopFlag|scrum_" "light_flag|capacitorState|smoothStopConverged|shotInProgress|smoothStopConverged|smoothStopConverged|smoothStop" "Converged|0 |0 |0 |x " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |memory variable|memory " "variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'SF_a_max_y_keeper');\nport_label('output',2,'SF_v_max_y_keeper');\nport_lab" "el('input',1,'tunable_pardata_motion_bus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "tunable_pardata_motion_bus|off|off|off|off|off|off|off|off|off|off|on|off|on|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|tunable_pard" "ata_motion_bus|5,6,8,9,11,12,14,15,39,40,41,42,43,44,20,23,24,26,27,28,29,30,31,32,33,34,35,36,37,38,45,52,56,57,5" "8,60,69,70,73,74,75,76,77,78,81,82,83,92,93,91,90,89,88,95,98,99,100,101,102,103,105,106,108,107,111,110,109,113,1" "12,120,119,114,115,116,117,118,125,126,121,122,127,128,129,130,131,132,134,|43,41," } Block { BlockType S-Function Name "Bus decoder1" SID "184" Ports [1, 1] Position [505, 244, 625, 276] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |turtleID|teamColor|blueIsHome|roleIDs|refboxRoleIDs|refboxTask|refboxTaskTrigger|" "destination_xyo|roleAssignerMode|penaltyDemoMode|notBallHandlingDemo|joystick_v_xyo|joystickCommand|joystickKickEf" "fort|joystickOnOff|t_RC|getKinectPhoto|coachID|0 |0 |0" " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |x " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0" " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0" " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'joystickOnOff');\nport_label('input',1,'TRCbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "TRCbus|off|off|off|off|off|off|off|off|off|off|off|off|off|off|on|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|TRCbus|1,2,3,4,5,6,7,8,9,10,11," "14,15,16,17,18,19,20,|17," } Block { BlockType Switch Name "switch between\nautonomous and\nmanual control" SID "201" Position [295, 57, 325, 143] Threshold "1" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType Outport Name "velLR_xyo" SID "202" Position [350, 93, 380, 107] IconDisplay "Port number" } Line { SrcBlock "velLRmanual_xyo" SrcPort 1 DstBlock "switch between\nautonomous and\nmanual control" DstPort 1 } Line { SrcBlock "switch between\nautonomous and\nmanual control" SrcPort 1 DstBlock "velLR_xyo" DstPort 1 } Line { SrcBlock "velLR_xyo " SrcPort 1 DstBlock "switch between\nautonomous and\nmanual control" DstPort 3 } Line { SrcBlock "TRCbus" SrcPort 1 DstBlock "Bus decoder" DstPort 1 } Line { SrcBlock "Bus decoder" SrcPort 1 DstBlock "switch between\nautonomous and\nmanual control" DstPort 2 } } } Block { BlockType SubSystem Name "pos2vel" SID "203" Ports [1, 1] Position [455, 130, 560, 320] BackgroundColor "yellow" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "pos2vel" Location [459, 132, 1050, 645] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "posGR_xyo" SID "204" Position [150, 198, 180, 212] IconDisplay "Port number" } Block { BlockType Demux Name "Demux2" SID "205" Ports [1, 3] Position [220, 68, 225, 342] BackgroundColor "black" ShowName off Outputs "3" DisplayOption "bar" } Block { BlockType Mux Name "Mux1" SID "206" Ports [3, 1] Position [365, 66, 370, 344] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType SubSystem Name "differentiator2" SID "207" Ports [1, 1] Position [250, 99, 335, 131] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "differentiator2" Location [5, 77, 1398, 994] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "x_g_ref" SID "208" Position [355, 293, 385, 307] IconDisplay "Port number" } Block { BlockType Gain Name "Gain7" SID "209" Position [505, 285, 535, 315] ShowName off Gain "1/Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum5" SID "210" Ports [2, 1] Position [460, 290, 480, 310] ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "211" Position [420, 323, 455, 357] SampleTime "-1" } Block { BlockType Outport Name "x_g_refdot" SID "212" Position [820, 293, 850, 307] IconDisplay "Port number" } Line { SrcBlock "Gain7" SrcPort 1 DstBlock "x_g_refdot" DstPort 1 } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [10, 0] DstBlock "Sum5" DstPort 2 } Line { SrcBlock "x_g_ref" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Unit Delay1" DstPort 1 } Branch { DstBlock "Sum5" DstPort 1 } } Line { SrcBlock "Sum5" SrcPort 1 DstBlock "Gain7" DstPort 1 } } } Block { BlockType SubSystem Name "differentiator3" SID "213" Ports [1, 1] Position [250, 189, 335, 221] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "differentiator3" Location [5, 77, 1398, 994] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "y_g_ref" SID "214" Position [355, 293, 385, 307] IconDisplay "Port number" } Block { BlockType Gain Name "Gain7" SID "215" Position [505, 285, 535, 315] ShowName off Gain "1/Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum5" SID "216" Ports [2, 1] Position [460, 290, 480, 310] ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "217" Position [420, 323, 455, 357] SampleTime "-1" } Block { BlockType Outport Name "y_g_refdot" SID "218" Position [820, 293, 850, 307] IconDisplay "Port number" } Line { SrcBlock "Sum5" SrcPort 1 DstBlock "Gain7" DstPort 1 } Line { SrcBlock "y_g_ref" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Sum5" DstPort 1 } Branch { DstBlock "Unit Delay1" DstPort 1 } } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [10, 0] DstBlock "Sum5" DstPort 2 } Line { SrcBlock "Gain7" SrcPort 1 DstBlock "y_g_refdot" DstPort 1 } } } Block { BlockType SubSystem Name "differentiator4" SID "219" Ports [1, 1] Position [250, 280, 335, 310] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "differentiator4" Location [4, 54, 1397, 999] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "phi_ref" SID "220" Position [355, 293, 385, 307] IconDisplay "Port number" } Block { BlockType Gain Name "Gain7" SID "221" Position [505, 285, 535, 315] ShowName off Gain "1/Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum5" SID "222" Ports [2, 1] Position [460, 290, 480, 310] ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "223" Position [420, 323, 455, 357] SampleTime "-1" } Block { BlockType Outport Name "phi_refdot" SID "224" Position [820, 293, 850, 307] IconDisplay "Port number" } Line { SrcBlock "Sum5" SrcPort 1 DstBlock "Gain7" DstPort 1 } Line { SrcBlock "phi_ref" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Sum5" DstPort 1 } Branch { DstBlock "Unit Delay1" DstPort 1 } } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [10, 0] DstBlock "Sum5" DstPort 2 } Line { SrcBlock "Gain7" SrcPort 1 DstBlock "phi_refdot" DstPort 1 } } } Block { BlockType Outport Name "velGR_xyo" SID "225" Position [410, 198, 440, 212] IconDisplay "Port number" } Line { SrcBlock "posGR_xyo" SrcPort 1 DstBlock "Demux2" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 1 DstBlock "differentiator2" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 2 DstBlock "differentiator3" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 3 DstBlock "differentiator4" DstPort 1 } Line { SrcBlock "differentiator2" SrcPort 1 DstBlock "Mux1" DstPort 1 } Line { SrcBlock "differentiator3" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "differentiator4" SrcPort 1 DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "velGR_xyo" DstPort 1 } } } Block { BlockType SubSystem Name "vel2pos" SID "226" Ports [4, 1] Position [1110, 137, 1215, 328] BackgroundColor "yellow" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "vel2pos" Location [373, 391, 1409, 785] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "controlEnable" SID "227" Position [160, 211, 195, 229] IconDisplay "Port number" } Block { BlockType Inport Name "faststop" SID "228" Position [160, 251, 195, 269] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "velLR_xyo" SID "229" Position [340, 108, 370, 122] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "posLocal_xyo" SID "230" Position [435, 258, 465, 272] Port "4" IconDisplay "Port number" } Block { BlockType DataTypeConversion Name "Data Type Conversion2" SID "231" Position [225, 241, 240, 279] ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Demux Name "Demux3" SID "232" Ports [1, 3] Position [483, 190, 537, 195] BlockRotation 270 BackgroundColor "black" ShowName off Outputs "3" DisplayOption "bar" } Block { BlockType Demux Name "Demux4" SID "233" Ports [1, 3] Position [405, 36, 410, 194] BackgroundColor "black" ShowName off Outputs "3" DisplayOption "bar" } Block { BlockType HitCross Name "Hit \nCrossing3" SID "234" Ports [1, 1] Position [260, 245, 290, 275] ShowName off HitCrossingOffset "0.5" HitCrossingDirection "falling" } Block { BlockType Logic Name "Logical\nOperator" SID "235" Ports [2, 1] Position [365, 222, 395, 253] AllPortsSameDT off OutDataTypeStr "boolean" } Block { BlockType Logic Name "Logical\nOperator1" SID "236" Ports [1, 1] Position [310, 244, 340, 276] Operator "NOT" AllPortsSameDT off OutDataTypeStr "boolean" } Block { BlockType Mux Name "Mux2" SID "237" Ports [3, 1] Position [700, 29, 705, 181] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType SubSystem Name "Subsystem1" SID "238" Ports [3, 1] Position [545, 88, 655, 122] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem1" Location [5, 77, 1675, 1020] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "y_l_previous" SID "239" Position [385, 288, 415, 302] IconDisplay "Port number" } Block { BlockType Inport Name "control enable" SID "240" Position [390, 253, 420, 267] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "y_l_refdot" SID "241" Position [195, 233, 225, 247] Port "3" IconDisplay "Port number" } Block { BlockType Gain Name "Gain4" SID "242" Position [280, 225, 310, 255] ShowName off Gain "Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum4" SID "243" Ports [2, 1] Position [325, 230, 345, 250] ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Switch Name "Switch" SID "244" Position [455, 226, 480, 294] Threshold "0.5" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "245" Position [370, 358, 405, 392] BlockMirror on SampleTime "-1" } Block { BlockType Outport Name "y_l_ref" SID "246" Position [580, 253, 610, 267] IconDisplay "Port number" } Line { SrcBlock "y_l_previous" SrcPort 1 Points [20, 0] DstBlock "Switch" DstPort 3 } Line { SrcBlock "Switch" SrcPort 1 Points [40, 0] Branch { Points [0, 115] DstBlock "Unit Delay1" DstPort 1 } Branch { DstBlock "y_l_ref" DstPort 1 } } Line { SrcBlock "Sum4" SrcPort 1 DstBlock "Switch" DstPort 1 } Line { SrcBlock "control enable" SrcPort 1 DstBlock "Switch" DstPort 2 } Line { SrcBlock "Gain4" SrcPort 1 DstBlock "Sum4" DstPort 1 } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [-30, 0] DstBlock "Sum4" DstPort 2 } Line { SrcBlock "y_l_refdot" SrcPort 1 DstBlock "Gain4" DstPort 1 } } } Block { BlockType SubSystem Name "Subsystem7" SID "247" Ports [3, 1] Position [545, 37, 655, 73] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem7" Location [5, 79, 1019, 740] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "x_l_previous" SID "248" Position [385, 288, 415, 302] IconDisplay "Port number" } Block { BlockType Inport Name "control enable" SID "249" Position [385, 253, 415, 267] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "x_l_refdot" SID "250" Position [195, 233, 225, 247] Port "3" IconDisplay "Port number" } Block { BlockType Gain Name "Gain4" SID "251" Position [280, 225, 310, 255] ShowName off Gain "Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum4" SID "252" Ports [2, 1] Position [325, 230, 345, 250] ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Switch Name "Switch" SID "253" Position [455, 226, 480, 294] Threshold "0.5" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "254" Position [370, 358, 405, 392] BlockMirror on SampleTime "-1" } Block { BlockType Outport Name "x_l_ref" SID "255" Position [580, 253, 610, 267] IconDisplay "Port number" } Line { SrcBlock "x_l_refdot" SrcPort 1 DstBlock "Gain4" DstPort 1 } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [-30, 0] DstBlock "Sum4" DstPort 2 } Line { SrcBlock "Gain4" SrcPort 1 DstBlock "Sum4" DstPort 1 } Line { SrcBlock "control enable" SrcPort 1 DstBlock "Switch" DstPort 2 } Line { SrcBlock "Sum4" SrcPort 1 DstBlock "Switch" DstPort 1 } Line { SrcBlock "Switch" SrcPort 1 Points [40, 0] Branch { DstBlock "x_l_ref" DstPort 1 } Branch { Points [0, 115] DstBlock "Unit Delay1" DstPort 1 } } Line { SrcBlock "x_l_previous" SrcPort 1 Points [20, 0] DstBlock "Switch" DstPort 3 } } } Block { BlockType SubSystem Name "Subsystem8" SID "256" Ports [3, 1] Position [545, 136, 655, 174] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem8" Location [66, 81, 1746, 1035] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "phi_l_previous" SID "257" Position [385, 288, 415, 302] IconDisplay "Port number" } Block { BlockType Inport Name "control enable" SID "258" Position [390, 253, 420, 267] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "phi_l_refdot" SID "259" Position [195, 233, 225, 247] Port "3" IconDisplay "Port number" } Block { BlockType Gain Name "Gain4" SID "260" Position [280, 225, 310, 255] ShowName off Gain "Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum4" SID "261" Ports [2, 1] Position [325, 230, 345, 250] ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Switch Name "Switch" SID "262" Position [455, 226, 480, 294] Threshold "0.5" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "263" Position [370, 358, 405, 392] BlockMirror on SampleTime "-1" } Block { BlockType Outport Name "phi_l_ref" SID "264" Position [580, 253, 610, 267] IconDisplay "Port number" } Line { SrcBlock "phi_l_refdot" SrcPort 1 DstBlock "Gain4" DstPort 1 } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [-30, 0] DstBlock "Sum4" DstPort 2 } Line { SrcBlock "Gain4" SrcPort 1 DstBlock "Sum4" DstPort 1 } Line { SrcBlock "control enable" SrcPort 1 DstBlock "Switch" DstPort 2 } Line { SrcBlock "Sum4" SrcPort 1 DstBlock "Switch" DstPort 1 } Line { SrcBlock "Switch" SrcPort 1 Points [40, 0] Branch { DstBlock "phi_l_ref" DstPort 1 } Branch { Points [0, 115] DstBlock "Unit Delay1" DstPort 1 } } Line { SrcBlock "phi_l_previous" SrcPort 1 Points [20, 0] DstBlock "Switch" DstPort 3 } } } Block { BlockType Outport Name "posLR_xyo" SID "265" Position [745, 98, 775, 112] IconDisplay "Port number" } Line { SrcBlock "posLocal_xyo" SrcPort 1 Points [40, 0] DstBlock "Demux3" DstPort 1 } Line { SrcBlock "Demux3" SrcPort 2 Points [0, -90] DstBlock "Subsystem1" DstPort 1 } Line { SrcBlock "Demux3" SrcPort 3 DstBlock "Subsystem8" DstPort 1 } Line { SrcBlock "Subsystem7" SrcPort 1 DstBlock "Mux2" DstPort 1 } Line { SrcBlock "Subsystem1" SrcPort 1 DstBlock "Mux2" DstPort 2 } Line { SrcBlock "Subsystem8" SrcPort 1 DstBlock "Mux2" DstPort 3 } Line { SrcBlock "Demux4" SrcPort 1 DstBlock "Subsystem7" DstPort 3 } Line { SrcBlock "Demux4" SrcPort 2 DstBlock "Subsystem1" DstPort 3 } Line { SrcBlock "Demux4" SrcPort 3 DstBlock "Subsystem8" DstPort 3 } Line { SrcBlock "Demux3" SrcPort 1 Points [0, -140] DstBlock "Subsystem7" DstPort 1 } Line { SrcBlock "velLR_xyo" SrcPort 1 DstBlock "Demux4" DstPort 1 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "posLR_xyo" DstPort 1 } Line { SrcBlock "Data Type Conversion2" SrcPort 1 DstBlock "Hit \nCrossing3" DstPort 1 } Line { SrcBlock "faststop" SrcPort 1 DstBlock "Data Type Conversion2" DstPort 1 } Line { SrcBlock "Hit \nCrossing3" SrcPort 1 DstBlock "Logical\nOperator1" DstPort 1 } Line { SrcBlock "Logical\nOperator1" SrcPort 1 Points [0, -15] DstBlock "Logical\nOperator" DstPort 2 } Line { SrcBlock "controlEnable" SrcPort 1 Points [75, 0; 0, 10] DstBlock "Logical\nOperator" DstPort 1 } Line { SrcBlock "Logical\nOperator" SrcPort 1 Points [40, 0; 0, -85] Branch { DstBlock "Subsystem8" DstPort 2 } Branch { Points [0, -50] Branch { DstBlock "Subsystem1" DstPort 2 } Branch { Points [0, -50] DstBlock "Subsystem7" DstPort 2 } } } } } Block { BlockType Outport Name "velLocalRef_xyodot" SID "266" Position [1265, 83, 1295, 97] IconDisplay "Port number" } Block { BlockType Outport Name "posLocalRef_xyo" SID "267" Position [1265, 228, 1295, 242] Port "2" IconDisplay "Port number" } Line { SrcBlock "controlbus" SrcPort 1 DstBlock "Bus decoder" DstPort 1 } Line { SrcBlock "vel2pos" SrcPort 1 DstBlock "posLocalRef_xyo" DstPort 1 } Line { SrcBlock "manual or\nautonomous" SrcPort 1 Points [10, 0] Branch { Points [0, -140] DstBlock "velLocalRef_xyodot" DstPort 1 } Branch { Labels [0, 0] Points [15, 0] DstBlock "vel2pos" DstPort 3 } } Line { SrcBlock "TRCbus" SrcPort 1 DstBlock "manual or\nautonomous" DstPort 3 } Line { SrcBlock "drift control" SrcPort 1 DstBlock "pos2vel" DstPort 1 } Line { SrcBlock "pos2vel" SrcPort 1 Points [15, 0; 0, -45] DstBlock "global to local" DstPort 1 } Line { SrcBlock "posGlobal_xyo" SrcPort 1 DstBlock "drift control" DstPort 4 } Line { Labels [0, 0] SrcBlock "posLocal_xyo" SrcPort 1 Points [10, 0] Branch { Points [515, 0] DstBlock "vel2pos" DstPort 4 } Branch { Points [0, -85] DstBlock "global to local" DstPort 2 } } Line { SrcBlock "Bus decoder" SrcPort 2 Points [30, 0] Branch { Points [815, 0] DstBlock "vel2pos" DstPort 1 } Branch { DstBlock "drift control" DstPort 1 } } Line { SrcBlock "Bus decoder" SrcPort 1 Points [710, 0] DstBlock "manual or\nautonomous" DstPort 1 } Line { SrcBlock "limit vel/acc\ngoalkeeper" SrcPort 1 DstBlock "manual or\nautonomous" DstPort 2 } Line { SrcBlock "global to local" SrcPort 1 DstBlock "limit vel/acc\ngoalkeeper" DstPort 2 } Line { SrcBlock "Motion_tuning_bus" SrcPort 1 DstBlock "limit vel/acc\ngoalkeeper" DstPort 3 } Line { SrcBlock "TRCbus1" SrcPort 1 DstBlock "limit vel/acc\ngoalkeeper" DstPort 1 } Line { SrcBlock "Bus decoder" SrcPort 4 Points [10, 0; 0, 80] DstBlock "drift control" DstPort 3 } Line { SrcBlock "Bus decoder" SrcPort 3 Points [20, 0] Branch { Points [0, 60] DstBlock "drift control" DstPort 2 } Branch { Points [0, -20; 815, 0; 0, 90] DstBlock "vel2pos" DstPort 2 } } } } Block { BlockType SubSystem Name "posLocal_xyo 2\nposGlobal_xyo" SID "268" Ports [1, 1] Position [595, 151, 730, 199] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "posLocal_xyo 2\nposGlobal_xyo" Location [761, 586, 1476, 930] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "posLocal_xyo" SID "269" Position [130, 143, 160, 157] IconDisplay "Port number" } Block { BlockType Demux Name "Demux" SID "270" Ports [1, 3] Position [185, 85, 190, 215] BackgroundColor "black" ShowName off Outputs "3" DisplayOption "bar" } Block { BlockType Mux Name "Mux1" SID "271" Ports [3, 1] Position [610, 83, 615, 217] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType SubSystem Name "Subsystem4" SID "272" Ports [1, 1] Position [500, 88, 575, 122] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem4" Location [983, 557, 1263, 662] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "x_g_dot" SID "273" Position [25, 38, 55, 52] IconDisplay "Port number" } Block { BlockType Gain Name "Gain4" SID "274" Position [80, 30, 110, 60] ShowName off Gain "Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum4" SID "275" Ports [2, 1] Position [125, 35, 145, 55] ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "276" Position [150, 63, 185, 97] BlockMirror on SampleTime "-1" } Block { BlockType Outport Name "x_g" SID "277" Position [225, 38, 255, 52] IconDisplay "Port number" } Line { SrcBlock "x_g_dot" SrcPort 1 Points [0, 0] DstBlock "Gain4" DstPort 1 } Line { SrcBlock "Gain4" SrcPort 1 DstBlock "Sum4" DstPort 1 } Line { SrcBlock "Sum4" SrcPort 1 Points [55, 0] Branch { DstBlock "x_g" DstPort 1 } Branch { Points [0, 35] DstBlock "Unit Delay1" DstPort 1 } } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [-10, 0] DstBlock "Sum4" DstPort 2 } } } Block { BlockType SubSystem Name "Subsystem6" SID "278" Ports [1, 1] Position [500, 133, 575, 167] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem6" Location [983, 557, 1263, 662] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "y_g_dot" SID "279" Position [25, 38, 55, 52] IconDisplay "Port number" } Block { BlockType Gain Name "Gain4" SID "280" Position [80, 30, 110, 60] ShowName off Gain "Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum4" SID "281" Ports [2, 1] Position [125, 35, 145, 55] ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "282" Position [155, 63, 190, 97] BlockMirror on SampleTime "-1" } Block { BlockType Outport Name "y_g" SID "283" Position [225, 38, 255, 52] IconDisplay "Port number" } Line { SrcBlock "Sum4" SrcPort 1 Points [55, 0] Branch { DstBlock "y_g" DstPort 1 } Branch { DstBlock "Unit Delay1" DstPort 1 } } Line { SrcBlock "Gain4" SrcPort 1 DstBlock "Sum4" DstPort 1 } Line { SrcBlock "y_g_dot" SrcPort 1 Points [0, 0] DstBlock "Gain4" DstPort 1 } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [-15, 0] DstBlock "Sum4" DstPort 2 } } } Block { BlockType SubSystem Name "differentiator" SID "284" Ports [1, 1] Position [220, 88, 290, 122] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "differentiator" Location [5, 77, 1398, 994] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "x_l" SID "285" Position [355, 293, 385, 307] IconDisplay "Port number" } Block { BlockType Gain Name "Gain7" SID "286" Position [505, 285, 535, 315] ShowName off Gain "1/Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum5" SID "287" Ports [2, 1] Position [460, 290, 480, 310] ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "288" Position [420, 323, 455, 357] SampleTime "-1" } Block { BlockType Outport Name "x_l_dot" SID "289" Position [820, 293, 850, 307] IconDisplay "Port number" } Line { SrcBlock "Sum5" SrcPort 1 DstBlock "Gain7" DstPort 1 } Line { SrcBlock "x_l" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Sum5" DstPort 1 } Branch { DstBlock "Unit Delay1" DstPort 1 } } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [10, 0] DstBlock "Sum5" DstPort 2 } Line { SrcBlock "Gain7" SrcPort 1 DstBlock "x_l_dot" DstPort 1 } } } Block { BlockType SubSystem Name "differentiator1" SID "290" Ports [1, 1] Position [220, 133, 290, 167] BackgroundColor "yellow" ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "differentiator1" Location [5, 77, 1275, 770] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "y_l" SID "291" Position [355, 293, 385, 307] IconDisplay "Port number" } Block { BlockType Gain Name "Gain7" SID "292" Position [505, 285, 535, 315] ShowName off Gain "1/Ts" Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum5" SID "293" Ports [2, 1] Position [460, 290, 480, 310] ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType UnitDelay Name "Unit Delay1" SID "294" Position [420, 323, 455, 357] SampleTime "-1" } Block { BlockType Outport Name "y_l_dot" SID "295" Position [820, 293, 850, 307] IconDisplay "Port number" } Line { SrcBlock "Gain7" SrcPort 1 DstBlock "y_l_dot" DstPort 1 } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [10, 0] DstBlock "Sum5" DstPort 2 } Line { SrcBlock "y_l" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Unit Delay1" DstPort 1 } Branch { DstBlock "Sum5" DstPort 1 } } Line { SrcBlock "Sum5" SrcPort 1 DstBlock "Gain7" DstPort 1 } } } Block { BlockType SubSystem Name "l_to_g" SID "296" Ports [3, 3] Position [390, 85, 475, 215] BackgroundColor "magenta" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "l_to_g" Location [5, 77, 1147, 770] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "x_l_dot" SID "297" Position [25, 28, 55, 42] IconDisplay "Port number" } Block { BlockType Inport Name "y_l_dot" SID "298" Position [25, 78, 55, 92] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "phi_l" SID "299" Position [25, 218, 55, 232] Port "3" IconDisplay "Port number" } Block { BlockType Product Name "Product" SID "300" Ports [2, 1] Position [175, 27, 205, 58] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product1" SID "301" Ports [2, 1] Position [175, 77, 205, 108] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product2" SID "302" Ports [2, 1] Position [175, 127, 205, 158] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product3" SID "303" Ports [2, 1] Position [175, 177, 205, 208] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum3" SID "304" Ports [2, 1] Position [220, 60, 240, 80] ShowName off IconShape "round" Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum4" SID "305" Ports [2, 1] Position [220, 160, 240, 180] ShowName off IconShape "round" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Trigonometry Name "Trigonometric\nFunction" SID "306" Ports [1, 1] Position [95, 270, 125, 300] } Block { BlockType Trigonometry Name "Trigonometric\nFunction1" SID "307" Ports [1, 1] Position [95, 210, 125, 240] Operator "cos" } Block { BlockType Outport Name "x_g_dot" SID "308" Position [265, 63, 295, 77] IconDisplay "Port number" } Block { BlockType Outport Name "y_g_dot" SID "309" Position [265, 163, 295, 177] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "phi_g" SID "310" Position [260, 328, 290, 342] Port "3" IconDisplay "Port number" } Line { SrcBlock "phi_l" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Trigonometric\nFunction1" DstPort 1 } Branch { Points [0, 60] Branch { DstBlock "Trigonometric\nFunction" DstPort 1 } Branch { Points [0, 50] DstBlock "phi_g" DstPort 1 } } } Line { SrcBlock "x_l_dot" SrcPort 1 Points [0, 0; 60, 0] Branch { DstBlock "Product" DstPort 1 } Branch { Points [0, 100] DstBlock "Product2" DstPort 1 } } Line { SrcBlock "Trigonometric\nFunction1" SrcPort 1 Points [5, 0; 0, -25] Branch { Points [0, -150] DstBlock "Product" DstPort 2 } Branch { DstBlock "Product3" DstPort 2 } } Line { SrcBlock "Trigonometric\nFunction" SrcPort 1 Points [20, 0; 0, -135] Branch { Points [0, -50] DstBlock "Product1" DstPort 2 } Branch { DstBlock "Product2" DstPort 2 } } Line { SrcBlock "Product" SrcPort 1 DstBlock "Sum3" DstPort 1 } Line { SrcBlock "Product1" SrcPort 1 DstBlock "Sum3" DstPort 2 } Line { SrcBlock "Product2" SrcPort 1 DstBlock "Sum4" DstPort 1 } Line { SrcBlock "Product3" SrcPort 1 DstBlock "Sum4" DstPort 2 } Line { SrcBlock "y_l_dot" SrcPort 1 Points [0, 0; 45, 0] Branch { DstBlock "Product1" DstPort 1 } Branch { Points [0, 100] DstBlock "Product3" DstPort 1 } } Line { SrcBlock "Sum3" SrcPort 1 Points [0, 0] DstBlock "x_g_dot" DstPort 1 } Line { SrcBlock "Sum4" SrcPort 1 Points [0, 0] DstBlock "y_g_dot" DstPort 1 } } } Block { BlockType Outport Name "posGlobal_xyo" SID "311" Position [640, 143, 670, 157] IconDisplay "Port number" } Line { SrcBlock "Subsystem4" SrcPort 1 DstBlock "Mux1" DstPort 1 } Line { SrcBlock "Subsystem6" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "l_to_g" SrcPort 1 DstBlock "Subsystem4" DstPort 1 } Line { SrcBlock "l_to_g" SrcPort 2 DstBlock "Subsystem6" DstPort 1 } Line { SrcBlock "differentiator" SrcPort 1 DstBlock "l_to_g" DstPort 1 } Line { SrcBlock "differentiator1" SrcPort 1 DstBlock "l_to_g" DstPort 2 } Line { SrcBlock "l_to_g" SrcPort 3 DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "posGlobal_xyo" DstPort 1 } Line { SrcBlock "Demux" SrcPort 1 DstBlock "differentiator" DstPort 1 } Line { SrcBlock "Demux" SrcPort 2 DstBlock "differentiator1" DstPort 1 } Line { SrcBlock "Demux" SrcPort 3 DstBlock "l_to_g" DstPort 3 } Line { SrcBlock "posLocal_xyo" SrcPort 1 DstBlock "Demux" DstPort 1 } } } Block { BlockType Outport Name "motionbus" SID "312" Position [1025, 148, 1055, 162] BackgroundColor "darkGreen" IconDisplay "Port number" } Line { SrcBlock "decoupled robot" SrcPort 1 Points [10, 0] Branch { Points [0, -75] Branch { DstBlock "posLocal_xyo 2\nposGlobal_xyo" DstPort 1 } Branch { Points [0, -105; -385, 0; 0, 40] DstBlock "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" DstPort 1 } } Branch { Labels [0, 0] DstBlock "filter" DstPort 1 } } Line { SrcBlock "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" SrcPort 2 DstBlock "decoupled robot" DstPort 1 } Line { SrcBlock "posLocal_xyo 2\nposGlobal_xyo" SrcPort 1 Points [0, 0] Branch { DstBlock "Bus assigner" DstPort 3 } Branch { Points [0, -115; -560, 0; 0, 80] DstBlock "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" DstPort 2 } } Line { SrcBlock "TRCbus" SrcPort 1 Points [25, 0] Branch { DstBlock "decoupled robot" DstPort 3 } Branch { Points [0, -120] DstBlock "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" DstPort 5 } } Line { SrcBlock "controlbus" SrcPort 1 Points [15, 0] Branch { DstBlock "decoupled robot" DstPort 2 } Branch { Points [0, -80] DstBlock "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" DstPort 4 } } Line { SrcBlock "decoupled robot" SrcPort 2 Points [205, 0; 0, -290] DstBlock "Bus assigner" DstPort 1 } Line { SrcBlock "Bus assigner" SrcPort 1 DstBlock "motionbus" DstPort 1 } Line { SrcBlock "Memory2" SrcPort 1 DstBlock "Bus assigner" DstPort 2 } Line { SrcBlock "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" SrcPort 1 DstBlock "Memory2" DstPort 1 } Line { SrcBlock "Motion_tuning_bus" SrcPort 1 Points [15, 0] Branch { DstBlock "posGlobalRef_xyo 2\nvelLocalRef_dxdydo" DstPort 3 } Branch { Points [0, 250] DstBlock "decoupled robot" DstPort 4 } } Line { SrcBlock "filter" SrcPort 1 Points [100, 0] DstBlock "Bus assigner" DstPort 4 } } } Block { BlockType SubSystem Name "strategy & control" SID "313" Ports [1, 3, 1] Position [125, 88, 315, 322] BackgroundColor "lightBlue" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "strategy & control" Location [172, 81, 1443, 877] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "motionbus" SID "314" Position [30, 73, 60, 87] ForegroundColor "green" IconDisplay "Port number" } Block { BlockType EnablePort Name "Enable" SID "315" Ports [] Position [1045, 65, 1065, 85] } Block { BlockType SubSystem Name "LaserRangeFinders" SID "1509" Ports [0, 1] Position [120, 521, 245, 619] BackgroundColor "black" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "LaserRangeFinders" Location [66, 81, 1664, 875] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType S-Function Name " LRF selector" SID "1512" Ports [0, 2] Position [15, 14, 75, 116] BackgroundColor "yellow" FunctionName "LRF_select" EnableBusSupport off MaskDisplay "port_label('output',1,'Back enable')\nport_label('output',2,'Back usb id')\n" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType BusCreator Name "Bus\nCreator" SID "3750" Ports [3, 1] Position [485, 60, 490, 120] ZOrder -2 ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType SubSystem Name "lrf_back" SID "1514" Ports [1, 3, 1] Position [160, 61, 365, 119] BackgroundColor "gray" TreatAsAtomicUnit on MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off Port { PortNumber 1 Name "PoseLRF" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 2 Name "PoseFound" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "PoseConfidence" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } System { Name "lrf_back" Location [178, 318, 922, 545] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "UsbIDBack" SID "1515" Position [50, 53, 80, 67] IconDisplay "Port number" } Block { BlockType EnablePort Name "Enable" SID "1516" Ports [] Position [15, 15, 35, 35] } Block { BlockType DataTypeConversion Name "Data Type Conversion" SID "1517" Position [575, 103, 640, 137] RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "Protected Rate Transition" SID "1518" Ports [1, 1] Position [455, 75, 520, 95] BackgroundColor "red" LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "Ts" } Block { BlockType Reference Name "Protected Rate Transition1" SID "1519" Ports [1, 1] Position [455, 109, 520, 131] BackgroundColor "red" LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "Ts" } Block { BlockType Reference Name "Protected Rate Transition2" SID "3545" Ports [1, 1] Position [455, 144, 520, 166] BackgroundColor "red" LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "Ts" } Block { BlockType SubSystem Name "Task Block" SID "1520" Ports [2, 3] Position [115, 28, 235, 142] BackgroundColor "[0.419453, 0.518498, 1.000000]" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "Task Parameters" MaskDescription "The task block has to be used to create a subtask which is not\nrunning at the base rate but is" " triggered by an external signal.\nThe subtask has to be put in an enabled subsytem enabled by this block.\nAll in" "puts of the subtask have to be led to the subtask via \nthis block. The ready flag will be high when the subtaks i" "s ready." MaskPromptString "CPU Affinity|Priority (1=low, 99=high)|Period in s|Ignition for self-triggering" MaskStyleString "popup(cpu 0|cpu 1|cpu 2|cpu 3),edit,edit,checkbox" MaskVariables "cpu=@1;tkpriority=@2;period=@3;ignition=@4;" MaskTunableValueString "on,on,on,on" MaskCallbackString "|||" MaskEnableString "on,on,on,on" MaskVisibilityString "on,on,off,on" MaskToolTipString "on,on,on,on" MaskInitialization "period=1.002;" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "cpu 0|90||on" System { Name "Task Block" Location [654, 183, 1504, 896] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Inputs" SID "1521" Position [210, 423, 240, 437] IconDisplay "Port number" } Block { BlockType Inport Name "Trigger" SID "1522" Position [290, 113, 320, 127] Port "2" IconDisplay "Port number" } Block { BlockType SubSystem Name "Protected Rate Transition" SID "1523" Ports [1, 1] Position [295, 401, 355, 459] BackgroundColor "red" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "ProtectedRateTransition" MaskDescription "Handle transfer of data between ports operating at different rates. Data transfer is protec" "ted by a semaphore. To be used only in combination with MultiTasking Target for Linux." MaskPromptString "Output port sample time:" MaskStyleString "edit" MaskVariables "period=@1;" MaskTunableValueString "on" MaskEnableString "on" MaskVisibilityString "on" MaskToolTipString "on" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "period" System { Name "Protected Rate Transition" Location [412, 665, 1226, 990] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "1524" Position [80, 138, 110, 152] IconDisplay "Port number" } Block { BlockType S-Function Name "S-Function1" SID "1525" Ports [1, 2] Position [185, 103, 320, 187] BackgroundColor "red" FunctionName "sf_protected_write_output" EnableBusSupport off } Block { BlockType S-Function Name "S-Function2" SID "1526" Ports [2, 1] Position [355, 103, 480, 187] BackgroundColor "green" FunctionName "sf_protected_read_input" Parameters "period" EnableBusSupport off } Block { BlockType Outport Name "Out1" SID "1527" Position [550, 138, 580, 152] IconDisplay "Port number" } Line { SrcBlock "S-Function2" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "S-Function1" DstPort 1 } Line { SrcBlock "S-Function1" SrcPort 2 DstBlock "S-Function2" DstPort 2 } Line { SrcBlock "S-Function1" SrcPort 1 DstBlock "S-Function2" DstPort 1 } } } Block { BlockType RateTransition Name "Rate Transition1" SID "1528" Position [285, 149, 325, 191] Deterministic off OutPortSampleTime "0" } Block { BlockType RateTransition Name "Rate Transition2" SID "1529" Position [410, 169, 450, 211] Deterministic off OutPortSampleTime "0" } Block { BlockType S-Function Name "S-Function" SID "1530" Ports [3, 1] Position [535, 141, 645, 199] BackgroundColor "red" FunctionName "sf_task_trigger" Parameters "ignition" EnableBusSupport off } Block { BlockType S-Function Name "Set Task Parameters" SID "1531" Ports [0, 2] Position [100, 153, 240, 222] BackgroundColor "green" FunctionName "sf_task_parameters" Parameters "cpu, tkpriority, period" EnableBusSupport off } Block { BlockType Outport Name "Enable" SID "1532" Position [595, 228, 625, 242] IconDisplay "Port number" } Block { BlockType Outport Name "Task Inputs" SID "1533" Position [415, 423, 445, 437] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Ready" SID "1534" Position [690, 163, 720, 177] Port "3" IconDisplay "Port number" } Line { SrcBlock "Rate Transition2" SrcPort 1 DstBlock "S-Function" DstPort 3 } Line { SrcBlock "Set Task Parameters" SrcPort 2 Points [20, 0; 0, 30; 80, 0] Branch { Points [0, -45] DstBlock "Rate Transition2" DstPort 1 } Branch { DstBlock "Enable" DstPort 1 } } Line { SrcBlock "S-Function" SrcPort 1 DstBlock "Ready" DstPort 1 } Line { SrcBlock "Rate Transition1" SrcPort 1 DstBlock "S-Function" DstPort 2 } Line { SrcBlock "Set Task Parameters" SrcPort 1 DstBlock "Rate Transition1" DstPort 1 } Line { SrcBlock "Trigger" SrcPort 1 Points [105, 0; 0, 30] DstBlock "S-Function" DstPort 1 } Line { SrcBlock "Inputs" SrcPort 1 DstBlock "Protected Rate Transition" DstPort 1 } Line { SrcBlock "Protected Rate Transition" SrcPort 1 DstBlock "Task Inputs" DstPort 1 } } } Block { BlockType UnitDelay Name "Unit Delay" SID "1535" Position [170, 168, 205, 202] BlockMirror on SampleTime "1/get_global_par('MOTION_SAMPLE_RATE')" } Block { BlockType SubSystem Name "lrf processing - back" SID "1536" Ports [1, 3, 1] Position [290, 69, 415, 171] TreatAsAtomicUnit on MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "lrf processing - back" Location [409, 323, 1172, 537] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "USB_ID" SID "1537" Position [20, 98, 50, 112] IconDisplay "Port number" } Block { BlockType EnablePort Name "Enable" SID "1538" Ports [] Position [20, 15, 40, 35] } Block { BlockType S-Function Name " get LRF-data Back" SID "1539" Ports [1, 5] Position [110, 46, 230, 164] BackgroundColor "lightBlue" FunctionName "get_data_LRF_ttyACMx" EnableBusSupport off MaskDisplay "port_label('output', 1, 'data')\nport_label('output', 2, 'par')\nport_label('output', 3, 't_st" "')\nport_label('output', 4, 'time_of_day')\nport_label('output', 5, 'lrf_connected')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Gain Name "Gain" SID "1540" Position [330, 37, 400, 73] Gain "1/1000" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Terminator Name "Terminator" SID "1541" Position [295, 95, 315, 115] ShowName off } Block { BlockType Terminator Name "Terminator1" SID "1542" Position [295, 70, 315, 90] ShowName off } Block { BlockType Terminator Name "Terminator2" SID "1543" Position [295, 120, 315, 140] NamePlacement "alternate" ShowName off } Block { BlockType Terminator Name "Terminator3" SID "1544" Position [295, 145, 315, 165] ShowName off } Block { BlockType S-Function Name "find pose keeper" SID "1545" Ports [1, 3] Position [480, 16, 615, 94] FunctionName "laserpose" EnableBusSupport off MaskDisplay "port_label('input', 1, 'laserdata')\nport_label('output', 1, 'pose')\nport_label('output', 2, " "'posefound')\nport_label('output', 3, 'poseconfidence')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Outport Name "pose" SID "1546" Position [670, 23, 700, 37] IconDisplay "Port number" } Block { BlockType Outport Name "posefound" SID "1547" Position [670, 48, 700, 62] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "confidence" SID "3544" Position [670, 73, 700, 87] Port "3" IconDisplay "Port number" } Line { SrcBlock "USB_ID" SrcPort 1 DstBlock " get LRF-data Back" DstPort 1 } Line { SrcBlock " get LRF-data Back" SrcPort 1 DstBlock "Gain" DstPort 1 } Line { SrcBlock "Gain" SrcPort 1 DstBlock "find pose keeper" DstPort 1 } Line { SrcBlock "find pose keeper" SrcPort 1 DstBlock "pose" DstPort 1 } Line { SrcBlock "find pose keeper" SrcPort 2 DstBlock "posefound" DstPort 1 } Line { SrcBlock " get LRF-data Back" SrcPort 3 DstBlock "Terminator" DstPort 1 } Line { SrcBlock " get LRF-data Back" SrcPort 2 DstBlock "Terminator1" DstPort 1 } Line { SrcBlock " get LRF-data Back" SrcPort 4 DstBlock "Terminator2" DstPort 1 } Line { SrcBlock " get LRF-data Back" SrcPort 5 DstBlock "Terminator3" DstPort 1 } Line { SrcBlock "find pose keeper" SrcPort 3 DstBlock "confidence" DstPort 1 } } } Block { BlockType Outport Name "PoseLRF" SID "1548" Position [675, 78, 705, 92] IconDisplay "Port number" } Block { BlockType Outport Name "PoseFound" SID "1549" Position [675, 113, 705, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "PoseConfidence" SID "3546" Position [675, 148, 705, 162] Port "3" IconDisplay "Port number" } Line { SrcBlock "Task Block" SrcPort 3 Points [25, 0; 0, 60] DstBlock "Unit Delay" DstPort 1 } Line { SrcBlock "Task Block" SrcPort 2 Points [35, 0] DstBlock "lrf processing - back" DstPort 1 } Line { SrcBlock "lrf processing - back" SrcPort 1 DstBlock "Protected Rate Transition" DstPort 1 } Line { SrcBlock "Task Block" SrcPort 1 Points [110, 0] DstBlock "lrf processing - back" DstPort enable } Line { SrcBlock "Unit Delay" SrcPort 1 Points [-75, 0; 0, -70] DstBlock "Task Block" DstPort 2 } Line { SrcBlock "lrf processing - back" SrcPort 2 DstBlock "Protected Rate Transition1" DstPort 1 } Line { SrcBlock "Protected Rate Transition" SrcPort 1 DstBlock "PoseLRF" DstPort 1 } Line { SrcBlock "Protected Rate Transition1" SrcPort 1 DstBlock "Data Type Conversion" DstPort 1 } Line { SrcBlock "UsbIDBack" SrcPort 1 DstBlock "Task Block" DstPort 1 } Line { SrcBlock "Data Type Conversion" SrcPort 1 DstBlock "PoseFound" DstPort 1 } Line { SrcBlock "lrf processing - back" SrcPort 3 DstBlock "Protected Rate Transition2" DstPort 1 } Line { SrcBlock "Protected Rate Transition2" SrcPort 1 DstBlock "PoseConfidence" DstPort 1 } } } Block { BlockType Outport Name "LRFbus" SID "1601" Position [740, 83, 770, 97] ForegroundColor "gray" IconDisplay "Port number" } Line { SrcBlock "Bus\nCreator" SrcPort 1 DstBlock "LRFbus" DstPort 1 } Line { SrcBlock " LRF selector" SrcPort 1 Points [180, 0] DstBlock "lrf_back" DstPort enable } Line { SrcBlock " LRF selector" SrcPort 2 DstBlock "lrf_back" DstPort 1 } Line { Name "PoseLRF" Labels [0, 0] SrcBlock "lrf_back" SrcPort 1 DstBlock "Bus\nCreator" DstPort 1 } Line { Name "PoseFound" Labels [0, 0] SrcBlock "lrf_back" SrcPort 2 DstBlock "Bus\nCreator" DstPort 2 } Line { Name "PoseConfidence" Labels [0, 0] SrcBlock "lrf_back" SrcPort 3 DstBlock "Bus\nCreator" DstPort 3 } } } Block { BlockType Memory Name "Memory1" SID "409" Position [759, 660, 781, 680] BlockRotation 270 ShowName off } Block { BlockType Memory Name "Memory2" SID "410" Position [519, 660, 541, 680] BlockRotation 270 ShowName off } Block { BlockType Memory Name "Memory3" SID "411" Position [539, 660, 561, 680] BlockRotation 270 ShowName off } Block { BlockType Memory Name "Memory4" SID "412" Position [559, 660, 581, 680] BlockRotation 270 ShowName off } Block { BlockType Memory Name "Memory6" SID "414" Position [284, 655, 306, 675] BlockRotation 270 ShowName off } Block { BlockType Memory Name "Memory8" SID "2252" Position [329, 655, 351, 675] BlockRotation 270 ShowName off } Block { BlockType SubSystem Name "TRC comm" SID "415" Ports [0, 3] Position [120, 238, 245, 352] BackgroundColor "lightBlue" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "TRC comm" Location [291, 81, 1119, 562] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Reference Name "Tuning server2" SID "2231" Ports [0, 1] Position [175, 247, 355, 303] BackgroundColor "blue" LibraryVersion "1.51" SourceBlock "Robocup/Tuning server" SourceType "Unknown" bus_name "tunable_pardata_motion_bus" port "PORT_MOTION_TUNING" Tsample "Ts" Port { PortNumber 1 Name "motion tuning" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "Tuning server3" SID "2232" Ports [0, 1] Position [175, 332, 355, 388] BackgroundColor "blue" LibraryVersion "1.51" SourceBlock "Robocup/Tuning server" SourceType "Unknown" bus_name "tunable_pardata_strategy_bus" port "PORT_STRATEGY_TUNING" Tsample "Ts" } Block { BlockType S-Function Name "receive refbox commands" SID "418" Ports [0, 1] Position [200, 99, 335, 191] BackgroundColor "green" FunctionName "mccomm_receiveRefboxCommands" EnableBusSupport off MaskInitialization "try\n labels = getLabels('mccomm_receiveRefboxCommands.c');\n set_param(gcb,'Mas" "kDisplay',labels);\ncatch\nend" MaskDisplay "port_label('output',1,'TRCbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Outport Name "TRCbus" SID "419" Position [495, 138, 525, 152] IconDisplay "Port number" } Block { BlockType Outport Name "Motion_tuning_bus" SID "420" Position [495, 268, 525, 282] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Strategy_tuning_bus" SID "421" Position [495, 353, 525, 367] Port "3" IconDisplay "Port number" } Line { SrcBlock "receive refbox commands" SrcPort 1 DstBlock "TRCbus" DstPort 1 } Line { Name "motion tuning" Labels [0, 0] SrcBlock "Tuning server2" SrcPort 1 DstBlock "Motion_tuning_bus" DstPort 1 } Line { SrcBlock "Tuning server3" SrcPort 1 DstBlock "Strategy_tuning_bus" DstPort 1 } } } Block { BlockType SubSystem Name "actions" SID "422" Ports [10, 1] Position [790, 456, 915, 644] BackgroundColor "[0.700000, 0.500000, 0.000000]" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "actions" Location [5, 79, 1850, 965] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "visionbus" SID "3776" Position [55, 348, 85, 362] ForegroundColor "yellow" IconDisplay "Port number" } Block { BlockType Inport Name "Strategy_tuning_bus" SID "423" Position [60, 108, 90, 122] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "motion_tuning_bus" SID "424" Position [60, 138, 90, 152] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "motionbus" SID "425" Position [60, 168, 90, 182] ForegroundColor "green" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "426" Position [60, 228, 90, 242] ForegroundColor "lightBlue" Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "teambus" SID "427" Position [60, 78, 90, 92] ForegroundColor "red" Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "parstructbus" SID "428" Position [60, 288, 90, 302] ForegroundColor "magenta" Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "sensorfusionbus" SID "429" Position [60, 48, 90, 62] ForegroundColor "[0.710000, 0.820000, 0.120000]" Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "strategybus" SID "430" Position [60, 258, 90, 272] ForegroundColor "orange" Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "control bus" SID "431" Position [60, 198, 90, 212] ForegroundColor "gray" Port "10" IconDisplay "Port number" } Block { BlockType S-Function Name "Action handler" SID "432" Ports [12, 3] Position [295, 48, 530, 392] BackgroundColor "[0.700000, 0.499800, 0.000000]" FunctionName "action_handler" EnableBusSupport off MaskDisplay "port_label('input',1,'sensorfusion bus')\nport_label('input',2,'teambus')\nport_label('input" "',3,'tun. par. strategy')\nport_label('input',4,'tun. par. motion')\nport_label('input',5,'motionbus')\nport_la" "bel('input',6,'control bus')\nport_label('input',7,'TRC bus')\nport_label('input',8,'strategy bus')\nport_label" "('input',9,'parStruct bus')\nport_label('input',10,'opponents from strategy')\nport_label('input',11,'vision bu" "s')\nport_label('input',12,'scrum flag')\n\nport_label('output',1,'Action Bus')\nport_label('output',2,'target_" "xyo')\nport_label('output',3,'AddedObstacles')\n" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType S-Function Name "Bus assigner1" SID "3817" Ports [8, 1] Position [1280, 96, 1535, 644] BackgroundColor "cyan" FunctionName "sf_bus_assign" Parameters "sizes,offsets,portwidth,types,bus_is_in,bus_size" EnableBusSupport off MaskType "Assign variables in bus." MaskDescription "Bus assigner to assign values to variables in a bus. \nThis block can overwrite values i" "n an existing bus as well as \ncreate a bus and write values to certain parameters. Busses \ncan be editted and" " created using the busmanager. Note that \ndeleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |Bus is input |desiredVelocityStrategy|aimTarget_xy|actionHandlerReturnValu" "e|skillId|controlEnable|emergencyStatus|target_xyo|waypointReached|scrum|subtarget_xy|subsubtarget_xy|kickEffor" "t|desiredAccelerationStrategy|CPPArobot|addedObstacles|shotType|smoothStopFlag|target_dxdyt|shootTarget_xy|Inte" "rceptingPass|dribbleAroundBallFlag|ParametersforKickEffortTuning|handBrakeFlag|currentActionID|currentActionID|" "0 |0 |0 |0 " " |0 |x |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable" "_pardata_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparams" "bus|tunable_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name" "06=&7;var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;va" "r_name14=&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name" "21=&22;var_name22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29" ";var_name29=&30;var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_n" "ame36=&37;var_name37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=" "&44;var_name44=&45;var_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;va" "r_name51=&52;var_name52=&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name" "58=&59;var_name59=&60;var_name60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66" ";var_name66=&67;var_name67=&68;var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_n" "ame73=&74;var_name74=&75;var_name75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=" "&81;var_name81=&82;var_name82=&83;var_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;va" "r_name88=&89;var_name89=&90;var_name90=&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name" "95=&96;var_name96=&97;var_name97=&98;var_name98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=" "&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'skillId');\nport_label('input',1,'actionsbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "actionsbus|off|off|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|actionsbus|" "1,2,3,5,13,6,7,8,9,10,11,12,14,15,16,17,19,21,23,24,25,26,27,28,|5," } Block { BlockType From Name "From1" SID "433" Position [15, 316, 145, 334] BackgroundColor "orange" ShowName off CloseFcn "tagdialog Close" GotoTag "AddedObstacles" TagVisibility "global" } Block { BlockType UnitDelay Name "Unit Delay1" SID "3794" Position [825, 658, 855, 692] BlockMirror on NamePlacement "alternate" ShowName off SampleTime "-1" } Block { BlockType S-Function Name "emergency handler" SID "474" Ports [4, 3] Position [660, 174, 855, 296] BackgroundColor "red" FunctionName "emergency_handler" EnableBusSupport off MaskDisplay "port_label('input',1,'motionbus');\nport_label('input',2,'target_xyo');\nport_label('input'," "3,'sensorfusionbus');\nport_label('input',4,'strategybus');\n\nport_label('output',1,'control enable');\nport_l" "abel('output',2,'target_xyo');\nport_label('output',3,'emergency status');" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType S-Function Name "subtargetplanner" SID "475" Ports [13, 5] Position [1020, 304, 1210, 646] FunctionName "determinesubtarget" EnableBusSupport off MaskDisplay "port_label('input',1,'target_global_xyo');\nport_label('input',2,'skill ID');\nport_label('i" "nput',3,'AddedObstacles');\nport_label('input',4,'sensorfusionbus');\nport_label('input',5,'controlbus');\nport" "_label('input',6,'strategybus');\nport_label('input',7,'TRCbus');\nport_label('input',8,'teambus');\nport_label" "('input',9,'AHparStruct');\nport_label('input',10,'strategyTuningbus');\nport_label('input',11,'motionTuningbus" "');\nport_label('input',12,'motionbus');\nport_label('input',13,'visionbus');\n\nport_label('output',1,'target_" "xyo');\nport_label('output',2,'subtarget_global_xy');\nport_label('output',3,'scrumFlag');\nport_label('output'" ",4,'subsubtarget');\nport_label('output',5,'handBrakeFlag');" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Outport Name "actionsbus" SID "477" Position [1745, 363, 1775, 377] IconDisplay "Port number" } Line { SrcBlock "motionbus" SrcPort 1 Points [60, 0] Branch { DstBlock "Action handler" DstPort 5 } Branch { Points [0, 320; 440, 0] Branch { Points [0, -305] DstBlock "emergency handler" DstPort 1 } Branch { Points [0, 105] DstBlock "subtargetplanner" DstPort 12 } } } Line { SrcBlock "teambus" SrcPort 1 Points [115, 0] Branch { DstBlock "Action handler" DstPort 2 } Branch { Points [0, 415] DstBlock "subtargetplanner" DstPort 8 } } Line { SrcBlock "Strategy_tuning_bus" SrcPort 1 Points [85, 0] Branch { DstBlock "Action handler" DstPort 3 } Branch { Points [0, 435] DstBlock "subtargetplanner" DstPort 10 } } Line { SrcBlock "From1" SrcPort 1 DstBlock "Action handler" DstPort 10 } Line { SrcBlock "sensorfusionbus" SrcPort 1 Points [175, 0] Branch { DstBlock "Action handler" DstPort 1 } Branch { Labels [2, 0] Points [0, 345; 340, 0] Branch { Points [0, -150] DstBlock "emergency handler" DstPort 3 } Branch { DstBlock "subtargetplanner" DstPort 4 } } } Line { SrcBlock "motion_tuning_bus" SrcPort 1 Points [70, 0] Branch { DstBlock "Action handler" DstPort 4 } Branch { Points [0, 430] DstBlock "subtargetplanner" DstPort 11 } } Line { SrcBlock "strategybus" SrcPort 1 Points [145, 0] Branch { DstBlock "Action handler" DstPort 8 } Branch { Points [0, 185; 385, 0] Branch { Points [0, -170] DstBlock "emergency handler" DstPort 4 } Branch { DstBlock "subtargetplanner" DstPort 6 } } } Line { SrcBlock "parstructbus" SrcPort 1 Points [100, 0] Branch { DstBlock "Action handler" DstPort 9 } Branch { Points [0, 230] DstBlock "subtargetplanner" DstPort 9 } } Line { SrcBlock "control bus" SrcPort 1 Points [160, 0] Branch { DstBlock "Action handler" DstPort 6 } Branch { Labels [2, 0] Points [0, 220] DstBlock "subtargetplanner" DstPort 5 } } Line { SrcBlock "TRCbus" SrcPort 1 Points [130, 0] Branch { DstBlock "Action handler" DstPort 7 } Branch { Points [0, 240] DstBlock "subtargetplanner" DstPort 7 } } Line { SrcBlock "Bus assigner1" SrcPort 1 DstBlock "actionsbus" DstPort 1 } Line { SrcBlock "Action handler" SrcPort 1 Points [45, 0] Branch { Points [0, 245] DstBlock "Bus decoder" DstPort 1 } Branch { Points [685, 0] DstBlock "Bus assigner1" DstPort 1 } } Line { SrcBlock "Action handler" SrcPort 2 DstBlock "emergency handler" DstPort 2 } Line { SrcBlock "Action handler" SrcPort 3 Points [15, 0; 0, 40] DstBlock "subtargetplanner" DstPort 3 } Line { SrcBlock "emergency handler" SrcPort 1 DstBlock "Bus assigner1" DstPort 2 } Line { SrcBlock "emergency handler" SrcPort 3 Points [405, 0] DstBlock "Bus assigner1" DstPort 3 } Line { SrcBlock "Bus decoder" SrcPort 1 DstBlock "subtargetplanner" DstPort 2 } Line { SrcBlock "emergency handler" SrcPort 2 Points [125, 0; 0, 90] DstBlock "subtargetplanner" DstPort 1 } Line { SrcBlock "visionbus" SrcPort 1 Points [30, 0] Branch { DstBlock "Action handler" DstPort 11 } Branch { Points [0, 270] DstBlock "subtargetplanner" DstPort 13 } } Line { SrcBlock "Unit Delay1" SrcPort 1 Points [-540, 0] DstBlock "Action handler" DstPort 12 } Line { SrcBlock "subtargetplanner" SrcPort 5 DstBlock "Bus assigner1" DstPort 8 } Line { SrcBlock "subtargetplanner" SrcPort 4 DstBlock "Bus assigner1" DstPort 7 } Line { SrcBlock "subtargetplanner" SrcPort 3 Points [20, 0] Branch { Points [0, 200] DstBlock "Unit Delay1" DstPort 1 } Branch { DstBlock "Bus assigner1" DstPort 6 } } Line { SrcBlock "subtargetplanner" SrcPort 2 DstBlock "Bus assigner1" DstPort 5 } Line { SrcBlock "subtargetplanner" SrcPort 1 DstBlock "Bus assigner1" DstPort 4 } } } Block { BlockType S-Function Name "comm output + vision input" SID "478" Ports [7, 1] Position [120, 94, 245, 206] BackgroundColor "green" FunctionName "mccomm_motion" EnableBusSupport off MaskDisplay "port_label('input',1,'motionbus');\nport_label('input',2,'actionsbus');\nport_label('input',3,'TRCb" "us');\nport_label('input',4,'controlbus');\nport_label('input',5,'sensorfusionbus');\nport_label('input',6,'strateg" "ybus');\nport_label('input',7,'teambus');\n\nport_label('output',1,'visionbus');" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType SubSystem Name "control" SID "2747" Ports [7, 1] Position [1005, 444, 1130, 636] BackgroundColor "gray" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "control" Location [66, 81, 1241, 974] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "motionbus" SID "2748" Position [35, 268, 65, 282] ForegroundColor "green" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "2749" Position [30, 648, 60, 662] ForegroundColor "lightBlue" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Motion_tuning_bus" SID "2750" Position [35, 408, 65, 422] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "visionbus" SID "2751" Position [70, 663, 100, 677] ForegroundColor "yellow" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "actionsbus" SID "2752" Position [30, 563, 60, 577] ForegroundColor "[0.700000, 0.500000, 0.000000]" Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "strategybus" SID "2754" Position [30, 598, 60, 612] ForegroundColor "orange" Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "sensorfusionbus" SID "2755" Position [40, 708, 70, 722] ForegroundColor "[0.710000, 0.820000, 0.120000]" Port "7" IconDisplay "Port number" } Block { BlockType S-Function Name "Bus decoder" SID "2757" Ports [1, 1] Position [190, 21, 320, 49] BackgroundColor "cyan" ShowName off FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using" " the busmanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |desiredVelocityStrategy|aimTarget_xy|actionHandlerReturnValue|skillId|kick" "Effort|controlEnable|emergencyStatus|target_xyo|waypointReached|scrum|subtarget_xy|subsubtarget_xy|desiredAccel" "erationStrategy|CPPArobot|addedObstacles|shotType|smoothStopFlag|target_dxdyt|shootTarget_xy|InterceptingPass|d" "ribbleAroundBallFlag|ParametersforKickEffortTuning|handBrakeFlag|currentActionID|currentActionID|0 " " |0 |0 |0 " " |0 |x |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'ball_xyzdxdydz');\nport_label('input',1,'sensorfusionbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "sensorfusionbus|off|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|sensorfusionbus|2,3,4," "5,6,7,8,9,10,11,12,13,14,|4," } Block { BlockType S-Function Name "Bus decoder2" SID "4025" Ports [1, 1] Position [435, 513, 635, 557] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |position_global_xyo|velLocalRef_dxdydo|robot_active|position_local_xyo|tacho_left" "|tacho_right|arm_left|arm_right|battery_voltage|motor_temperatures|panic_and_reset_switches|local_y_velocity|shoot" "_lever_encoder|SHTstatus|SHTValue|capacitorVoltage|startBackupSoftwareSwitch|Accelerations|vel_local_xyo_dot|BH_Ov" "erheat|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |x " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'robot_active');\nport_label('input',1,'motionbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "motionbus|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|motionbus|2,3,4,5,6,7,8,9,11" ",13,14,15,16,17,18,22,20,29,30,31,|3," } Block { BlockType S-Function Name "Bus decoder1" SID "3430" Ports [1, 3] Position [105, 273, 225, 317] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |turtleID|teamColor|blueIsHome|roleIDs|refboxRoleIDs|refboxTask|refboxTaskTrigger|" "destination_xyo|roleAssignerMode|penaltyDemoMode|notBallHandlingDemo|joystick_v_xyo|joystickCommand|joystickKickEf" "fort|joystickOnOff|t_RC|getKinectPhoto|coachID|0 |0 |0" " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |x " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0" " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0" " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'controlEnable');\nport_label('input',1,'actionsbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "actionsbus|off|off|off|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|actionsbus|1,2,3,5,6,7,8,9," "10,11,12,13,14,15,16,17,19,21,23,24,25,26,27,28,|6," } Block { BlockType S-Function Name "Bus decoder3" SID "3788" Ports [1, 1] Position [885, 707, 1155, 743] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |deceleration_gain|deceleration_gain_hb|SF_v_max_move|SF_v_max_dribble|SF_a_max_mo" "ve|SF_a_max_dribble|SF_a_max_passes|SF_a_max_push|SF_v_max_rotate_move|SF_v_max_rotate_dribble|SF_v_max_rotate_aim" "_refbox|SF_a_max_rotate_move|SF_a_max_rotate_dribble|SF_a_max_rotate_aim_refbox|SF_v_max_x_keeper|SF_v_max_y_keepe" "r|SF_a_max_x_keeper|SF_a_max_y_keeper|SF_v_max_rotate_keeper|SF_a_max_rotate_keeper|SF_perc_torque_front_wheels|TP" "PP_val0v|TPPP_val0a|TPPP_err0|TPPP_val1|TPPP_err1|SF_shock_bound|BH_AngleReference|BH_AngleReferenceKick|BH_AngleR" "eferenceScrum|BH_AngleReferenceShock|BH_VelocityReferenceNoBall|BH_VelReferenceNoBallLow|BH_VelReferenceNoBallHigh" "|BH_VelRefStupidHumanDribble|BH_StupidHumanDribbleOnOff|BH_CatchingTime|BH_FF_base_factor|BH_AddRetractForwardDrib" "ble|BH_AddRetractBackwardDribble|BH_AddRetractSidewaysBackArm|BH_AddRetractSidewaysFrontArm|BH_PGain_CAngle|BH_PGa" "in_CVel|BH_PGain_CVel_catching|BH_use_scrumhandler|BH_Enable_Logging|BH_CRK_Cnt_Thresh|K_retract_ball_timeout_ms|K" "_retract_ball_time_penalty_ms|K_basis_value_lob|K_rate_value_lob|K_basis_value_pass|K_rate_value_pass|K_game2velma" "p|K_peakballvel_shot2m|K_peakballvel_shot6m|K_scale_indirect_pass_dist0_5m|K_scale_indirect_pass_dist2m|K_scale_de" "ep_pass|K_PassTimeGain_dyn_Pass|K_maximum_value_flat|K_angle_flat_shot|K_velocity_gain_lob|K_wait_time_during_aim_" "in_s|K_use_demo_goal|K_width_holes|K_height_hole2|K_height_hole3|K_FFBallSpeedOnAimTarget|K_FFBallSpeedOnAimTarget" "Pass|K_FFBallSpeedOnAimTargetLob|K_shoot_when_retracted|SF_brake_gain_target_turtle|SF_brake_gain_reverse_turtle|S" "F_brake_gain_target_pass|SF_brake_gain_reverse_pass|SF_brake_gain_target_keeper|SF_brake_gain_reverse_keeper|SF_ba" "ll2robotdist_for_rotate|lobExtraDistanceComp_Turtle2|lobExtraDistanceComp_Turtle3|lobExtraDistanceComp_Turtle4|lob" "ExtraDistanceComp_Turtle5|lobExtraDistanceComp_Turtle6|LED_mainswitch|BH_AimAngleErr_BeforeRetract|K_KinectLob_ang" "le_subtract|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pa" "rdata_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|" "tunable_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox" ",checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,ch" "eckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,check" "box,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=" "&7;var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_na" "me14=&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&2" "2;var_name22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_n" "ame29=&30;var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&" "37;var_name37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_" "name44=&45;var_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=" "&52;var_name52=&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var" "_name59=&60;var_name60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66" "=&67;var_name67=&68;var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;va" "r_name74=&75;var_name75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name8" "1=&82;var_name82=&83;var_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;v" "ar_name89=&90;var_name90=&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name" "96=&97;var_name97=&98;var_name98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var" "_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'BH_Overheat');\nport_label('input',1,'motionbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "motionbus|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|on|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|motionbus|2,3,4,5," "6,7,8,9,11,13,14,15,16,17,18,22,20,29,30,31,|31," } Block { BlockType S-Function Name "Bus decoder2" SID "3633" Ports [1, 1] Position [635, 297, 800, 323] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using th" "e busmanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |desiredVelocityStrategy|aimTarget_xy|actionHandlerReturnValue|skillId|control" "Enable|emergencyStatus|target_xyo|waypointReached|scrum|subtarget_xy|subsubtarget_xy|kickEffort|desiredAccelerat" "ionStrategy|CPPArobot|addedObstacles|shotType|smoothStopFlag|target_dxdyt|shootTarget_xy|InterceptingPass|dribbl" "eAroundBallFlag|ParametersforKickEffortTuning|handBrakeFlag|currentActionID|currentActionID|0 " " |0 |0 |0 |" "0 |x |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " "|0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |memory va" "riable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;bus_is_in=@2;var_name01=&3;var_name02=&4;var_name03=&5;var_name04=&6;var_name05=&7;v" "ar_name06=&8;var_name07=&9;var_name08=&10;var_name09=&11;var_name10=&12;var_name11=&13;var_name12=&14;var_name13=&" "15;var_name14=&16;var_name15=&17;var_name16=&18;var_name17=&19;var_name18=&20;var_name19=&21;var_name20=&22;var_na" "me21=&23;var_name22=&24;var_name23=&25;var_name24=&26;var_name25=&27;var_name26=&28;var_name27=&29;var_name28=&30;" "var_name29=&31;var_name30=&32;var_name31=&33;var_name32=&34;var_name33=&35;var_name34=&36;var_name35=&37;var_name3" "6=&38;var_name37=&39;var_name38=&40;var_name39=&41;var_name40=&42;var_name41=&43;var_name42=&44;var_name43=&45;var" "_name44=&46;var_name45=&47;var_name46=&48;var_name47=&49;var_name48=&50;var_name49=&51;var_name50=&52;var_name51=&" "53;var_name52=&54;var_name53=&55;var_name54=&56;var_name55=&57;var_name56=&58;var_name57=&59;var_name58=&60;var_na" "me59=&61;var_name60=&62;var_name61=&63;var_name62=&64;var_name63=&65;var_name64=&66;var_name65=&67;var_name66=&68;" "var_name67=&69;var_name68=&70;var_name69=&71;var_name70=&72;var_name71=&73;var_name72=&74;var_name73=&75;var_name7" "4=&76;var_name75=&77;var_name76=&78;var_name77=&79;var_name78=&80;var_name79=&81;var_name80=&82;var_name81=&83;var" "_name82=&84;var_name83=&85;var_name84=&86;var_name85=&87;var_name86=&88;var_name87=&89;var_name88=&90;var_name89=&" "91;var_name90=&92;var_name91=&93;var_name92=&94;var_name93=&95;var_name94=&96;var_name95=&97;var_name96=&98;var_na" "me97=&99;var_name98=&100;var_name99=&101;var_name100=&102;selected_bus=&103;var_ids=&104;checked_var_ids=&105;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_assign('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "|||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_assign('init');\n" MaskSelfModifiable on MaskDisplay "port_label('input',1,'controlEnable');\nport_label('input',2,'powerOnOff');\nport_label('input',3," "'amplifiersOnOff');\nport_label('input',4,'posGlobalRef_xyo[3]');\nport_label('input',5,'velLocalRefManual_xyo_dot" "[3]');\nport_label('input',6,'ballHandlingControl[2]');\nport_label('input',7,'cur_xydot[2]');\nport_label('input'" ",8,'shootLeverAngleMotor');\nport_label('input',9,'LEDResetPanicSwitchButton');\nport_label('input',10,'LEDBlue');" "\nport_label('input',11,'LEDAmber');\nport_label('input',12,'keeperFrame_ulr[3]');\nport_label('input',13,'SHTCont" "rol');\nport_label('input',14,'SHTDutyCycle');\nport_label('input',15,'smoothStopConverged');\nport_label('input'," "16,'va_max[2]');\nport_label('input',17,'CPBarm');\nport_label('input',18,'emergencyStatus');\nport_label('input'," "19,'shootLeverState');\nport_label('input',20,'capacitorState');\nport_label('input',21,'scrum_light_flag');\nport" "_label('input',22,'shotFinished');\nport_label('input',23,'shotInProgress');\nport_label('output',1,'controlbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "controlbus|off|on|on|on|on|on|on|on|on|on|on|on|on|on|on|on|on|on|on|on|off|on|on|on|on|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|controlbus|1,2,3,4,5,8,9,10,11,12,13,14,16,17" ",18,19,23,24,25,28,29,30,31,32,|1,2,3,4,5,25,24,8,9,10,11,12,13,14,31,16,17,18,19,30,29,23,32," } Block { BlockType From Name "From1" SID "2932" Position [65, 56, 180, 74] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "powerOnOff" TagVisibility "global" } Block { BlockType From Name "From10" SID "2933" Position [65, 326, 180, 344] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "LEDAmber" TagVisibility "global" } Block { BlockType From Name "From11" SID "2934" Position [65, 356, 180, 374] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "keeperFrame_ulr" TagVisibility "global" } Block { BlockType From Name "From12" SID "2935" Position [65, 506, 180, 524] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "CPBarm" TagVisibility "global" } Block { BlockType From Name "From13" SID "2936" Position [65, 536, 180, 554] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "emergencyStatus_Cbus" TagVisibility "global" } Block { BlockType From Name "From14" SID "2937" Position [65, 416, 180, 434] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "SHTDutyCycle" TagVisibility "global" } Block { BlockType From Name "From16" SID "2939" Position [65, 386, 180, 404] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "SHTControl" TagVisibility "global" } Block { BlockType From Name "From17" SID "2940" Position [65, 476, 180, 494] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "va_max" TagVisibility "global" } Block { BlockType From Name "From18" SID "2941" Position [65, 206, 180, 224] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "cur_xydot_local" TagVisibility "global" } Block { BlockType From Name "From19" SID "2942" Position [65, 446, 205, 464] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "smooth_stop_converged" TagVisibility "global" } Block { BlockType From Name "From2" SID "2943" Position [65, 86, 180, 104] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "amplifiersOnOff" TagVisibility "global" } Block { BlockType From Name "From22" SID "2946" Position [65, 566, 180, 584] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "shootLeverState" TagVisibility "global" } Block { BlockType From Name "From23" SID "2947" Position [65, 656, 180, 674] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "shotFinished" TagVisibility "global" } Block { BlockType From Name "From24" SID "2948" Position [65, 626, 180, 644] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "scrum_flag_lampje" TagVisibility "global" } Block { BlockType From Name "From25" SID "2949" Position [65, 596, 180, 614] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "capacitorState" TagVisibility "global" } Block { BlockType From Name "From28" SID "2950" Position [65, 26, 180, 44] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "controlEnable_Cbus" TagVisibility "global" } Block { BlockType From Name "From3" SID "2951" Position [65, 116, 180, 134] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "posGlobalRef_xyo" TagVisibility "global" } Block { BlockType From Name "From4" SID "2952" Position [65, 146, 180, 164] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "velLocalRefManual_xyo_dot" TagVisibility "global" } Block { BlockType From Name "From5" SID "2953" Position [65, 176, 180, 194] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "ballHandlingControl" TagVisibility "global" } Block { BlockType From Name "From6" SID "2954" Position [65, 236, 180, 254] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "shootLeverAngleMotor" TagVisibility "global" } Block { BlockType From Name "From7" SID "2955" Position [65, 266, 180, 284] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "LEDResetPanicSwitchButton" TagVisibility "global" } Block { BlockType From Name "From8" SID "3803" Position [65, 686, 180, 704] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "shotInProgress" TagVisibility "global" } Block { BlockType From Name "From9" SID "2957" Position [65, 296, 180, 314] BackgroundColor "gray" ShowName off CloseFcn "tagdialog Close" GotoTag "LEDBlue" TagVisibility "global" } Block { BlockType Outport Name "controlbus" SID "2958" Position [460, 358, 490, 372] IconDisplay "Port number" } Line { SrcBlock "Bus assigner" SrcPort 1 DstBlock "controlbus" DstPort 1 } Line { SrcBlock "From28" SrcPort 1 DstBlock "Bus assigner" DstPort 1 } Line { SrcBlock "From1" SrcPort 1 DstBlock "Bus assigner" DstPort 2 } Line { SrcBlock "From2" SrcPort 1 DstBlock "Bus assigner" DstPort 3 } Line { SrcBlock "From3" SrcPort 1 DstBlock "Bus assigner" DstPort 4 } Line { SrcBlock "From4" SrcPort 1 DstBlock "Bus assigner" DstPort 5 } Line { SrcBlock "From18" SrcPort 1 DstBlock "Bus assigner" DstPort 7 } Line { SrcBlock "From6" SrcPort 1 DstBlock "Bus assigner" DstPort 8 } Line { SrcBlock "From7" SrcPort 1 DstBlock "Bus assigner" DstPort 9 } Line { SrcBlock "From9" SrcPort 1 DstBlock "Bus assigner" DstPort 10 } Line { SrcBlock "From10" SrcPort 1 DstBlock "Bus assigner" DstPort 11 } Line { SrcBlock "From11" SrcPort 1 DstBlock "Bus assigner" DstPort 12 } Line { SrcBlock "From16" SrcPort 1 DstBlock "Bus assigner" DstPort 13 } Line { SrcBlock "From14" SrcPort 1 DstBlock "Bus assigner" DstPort 14 } Line { SrcBlock "From19" SrcPort 1 DstBlock "Bus assigner" DstPort 15 } Line { SrcBlock "From17" SrcPort 1 DstBlock "Bus assigner" DstPort 16 } Line { SrcBlock "From12" SrcPort 1 DstBlock "Bus assigner" DstPort 17 } Line { SrcBlock "From13" SrcPort 1 DstBlock "Bus assigner" DstPort 18 } Line { SrcBlock "From22" SrcPort 1 DstBlock "Bus assigner" DstPort 19 } Line { SrcBlock "From5" SrcPort 1 DstBlock "Bus assigner" DstPort 6 } Line { SrcBlock "From25" SrcPort 1 DstBlock "Bus assigner" DstPort 20 } Line { SrcBlock "From24" SrcPort 1 DstBlock "Bus assigner" DstPort 21 } Line { SrcBlock "From23" SrcPort 1 DstBlock "Bus assigner" DstPort 22 } Line { SrcBlock "From8" SrcPort 1 DstBlock "Bus assigner" DstPort 23 } } } Block { BlockType SubSystem Name "keeper frame control" SID "2959" Ports [5, 1] Position [190, 658, 425, 742] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "keeper frame control" Location [447, 255, 1038, 577] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "visionbus" SID "2960" Position [45, 138, 75, 152] ForegroundColor "yellow" IconDisplay "Port number" } Block { BlockType Inport Name "amplifiers on/off" SID "2961" Position [45, 188, 75, 202] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "2962" Position [45, 238, 75, 252] ForegroundColor "lightBlue" Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "sensorfusionbus" SID "2963" Position [45, 88, 75, 102] ForegroundColor "[0.710000, 0.820000, 0.120000]" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "strategybus" SID "2964" Position [50, 38, 80, 52] ForegroundColor "orange" Port "5" IconDisplay "Port number" } Block { BlockType DataTypeConversion Name "Data Type Conversion" SID "2965" Position [115, 181, 185, 209] ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType S-Function Name "Keeper Frame Handler1" SID "2966" Ports [5, 3] Position [205, 22, 425, 268] BackgroundColor "green" FunctionName "Keeperframe" EnableBusSupport off MaskDisplay "port_label('input',1,'StrategyBus')\nport_label('input',2,'SensorfusionBus')\nport_label('input',3" ",'VisionBus')\nport_label('input',4,'Amplifier onOff')\nport_label('input',5,'TRCbus')\nport_label('output',1,'Fra" "me UP')\nport_label('output',2,'Frame LEFT')\nport_label('output',3,'Frame RIGHT')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" Port { PortNumber 1 Name "frame up" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 2 Name "frame left" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "frame right" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Mux Name "Mux1" SID "2967" Ports [3, 1] Position [465, 21, 470, 269] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType Outport Name "IO Keeper Block" SID "2968" Position [510, 138, 540, 152] IconDisplay "Port number" } Line { SrcBlock "visionbus" SrcPort 1 DstBlock "Keeper Frame Handler1" DstPort 3 } Line { SrcBlock "amplifiers on/off" SrcPort 1 DstBlock "Data Type Conversion" DstPort 1 } Line { Name "frame up" Labels [1, 0] SrcBlock "Keeper Frame Handler1" SrcPort 1 DstBlock "Mux1" DstPort 1 } Line { Name "frame right" Labels [0, 0] SrcBlock "Keeper Frame Handler1" SrcPort 3 DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "IO Keeper Block" DstPort 1 } Line { Name "frame left" Labels [0, 0] SrcBlock "Keeper Frame Handler1" SrcPort 2 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "strategybus" SrcPort 1 DstBlock "Keeper Frame Handler1" DstPort 1 } Line { SrcBlock "TRCbus" SrcPort 1 DstBlock "Keeper Frame Handler1" DstPort 5 } Line { SrcBlock "sensorfusionbus" SrcPort 1 DstBlock "Keeper Frame Handler1" DstPort 2 } Line { SrcBlock "Data Type Conversion" SrcPort 1 DstBlock "Keeper Frame Handler1" DstPort 4 } } } Block { BlockType SubSystem Name "kick control" SID "2969" Ports [9, 8] Position [690, 73, 930, 327] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "kick control" Location [73, 102, 1599, 837] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Amplifier and Power on/off" SID "2972" Position [400, 168, 430, 182] IconDisplay "Port number" } Block { BlockType Inport Name "motionbus" SID "2973" Position [95, 208, 125, 222] ForegroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "sensorfusionbus" SID "3891" Position [480, 488, 510, 502] ForegroundColor "[0.710000, 0.820000, 0.120000]" Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Motion_tunable_par" SID "2974" Position [455, 288, 485, 302] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "2975" Position [465, 248, 495, 262] ForegroundColor "lightBlue" Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "strategybus" SID "2976" Position [455, 368, 485, 382] ForegroundColor "orange" Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "actionsbus" SID "2977" Position [455, 328, 485, 342] ForegroundColor "[0.700000, 0.500000, 0.000000]" Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "Ball is retracted" SID "2978" Position [455, 408, 485, 422] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "CPB" SID "3789" Position [455, 448, 485, 462] Port "9" IconDisplay "Port number" } Block { BlockType S-Function Name "Bus decoder1" SID "2979" Ports [1, 1] Position [255, 546, 430, 584] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |position_global_xyo|velLocalRef_dxdydo|robot_active|position_local_xyo|tacho_left" "|tacho_right|arm_left|arm_right|battery_voltage|motor_temperatures|panic_and_reset_switches|local_y_velocity|shoot" "_lever_encoder|SHTstatus|SHTValue|capacitorVoltage|startBackupSoftwareSwitch|Accelerations|vel_local_xyo_dot|BH_Ov" "erheat|Status|Counter|CAM1posX|Accelerations|vel_local_xyo_dot|BH_Overheat|0 |0 " " |0 |0 |x " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'SF_v_max_move');\nport_label('output',2,'SF_a_max_move');\nport_label('outp" "ut',3,'SF_v_max_rotate_move');\nport_label('output',4,'SF_a_max_rotate_move');\nport_label('output',5,'SF_v_max_x_" "keeper');\nport_label('output',6,'SF_v_max_y_keeper');\nport_label('output',7,'SF_a_max_x_keeper');\nport_label('o" "utput',8,'SF_a_max_y_keeper');\nport_label('output',9,'SF_v_max_rotate_keeper');\nport_label('output',10,'SF_a_max" "_rotate_keeper');\nport_label('input',1,'tunable_pardata_motion_bus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "tunable_pardata_motion_bus|on|off|on|off|on|off|on|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|on|on|on|on|on|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|tunable_pardata_moti" "on_bus|5,6,8,9,11,12,14,15,20,23,24,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,52,56,57,58,60,69," "70,73,74,75,76,77,78,81,82,83,92,93,91,90,89,88,95,98,99,100,101,102,103,105,106,108,107,111,110,109,112,113,120,1" "19,114,115,116,117,118,125,126,121,122,127,128,129,130,131,132,134,|5,8,11,14,40,41,42,43,44,45," Port { PortNumber 1 Name "vmax_xy" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 2 Name "amax_xy" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "vmax_phi" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 4 Name "amax_phi" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType S-Function Name "Bus decoder1" SID "3015" Ports [1, 3] Position [175, 393, 350, 537] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |turtleID|teamColor|blueIsHome|roleIDs|refboxRoleIDs|refboxTask|refboxTaskTrigger|" "destination_xyo|roleAssignerMode|penaltyDemoMode|notBallHandlingDemo|joystick_v_xyo|joystickCommand|joystickKickEf" "fort|joystickOnOff|t_RC|getKinectPhoto|coachID|0 |0 |0" " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |x " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0" " |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0" " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'emergencyStatus');\nport_label('input',1,'actionsbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "actionsbus|off|off|off|off|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|actionsbus|1,2,3,5,6,7,8,9," "10,11,12,13,14,15,16,17,19,21,23,24,25,26,27,28,|7," } Block { BlockType Constant Name "Constant" SID "3017" Position [835, 520, 865, 550] ShowName off Value "0" } Block { BlockType DataTypeConversion Name "Data Type Conversion2" SID "3020" Position [835, 584, 890, 606] ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Demux Name "Demux1" SID "3021" Ports [1, 3] Position [610, 224, 615, 416] BackgroundColor "black" ShowName off Outputs "3" DisplayOption "bar" Port { PortNumber 1 Name "x_l_retdot" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 2 Name "y_l_refdot" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "phi_refdot" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Demux Name "Demux3" SID "3023" Ports [1, 6] Position [610, 71, 615, 214] BackgroundColor "black" ShowName off Outputs "6" DisplayOption "bar" Port { PortNumber 1 Name "vmax_xy" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "amax_xy" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 5 Name "vmax_phi" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 6 Name "amax_phi" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Gain Name "Gain1" SID "3024" Position [865, 383, 895, 407] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain2" SID "3025" Position [910, 318, 940, 342] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain3" SID "3026" Position [910, 253, 940, 277] ShowName off Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Mux Name "Mux2" SID "3028" Ports [3, 1] Position [1055, 215, 1060, 415] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType Mux Name "Mux3" SID "3029" Ports [6, 1] Position [385, 49, 390, 146] ShowName off Inputs "6" DisplayOption "bar" } Block { BlockType Mux Name "Mux4" SID "3030" Ports [6, 1] Position [385, 151, 390, 279] ShowName off Inputs "6" DisplayOption "bar" } Block { BlockType Product Name "Product" SID "3032" Ports [2, 1] Position [840, 232, 870, 263] ShowName off InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product1" SID "3033" Ports [2, 1] Position [840, 297, 870, 328] ShowName off InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product2" SID "3034" Ports [2, 1] Position [740, 362, 770, 393] ShowName off InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "Rate Limiter" SID "3035" Ports [3, 1] Position [960, 229, 1025, 271] LibraryVersion "1.260" SourceBlock "simulink/Discontinuities/Rate Limiter\nDynamic" SourceType "Rate Limiter Dynamic" } Block { BlockType Reference Name "Rate Limiter1" SID "3036" Ports [3, 1] Position [960, 294, 1025, 336] LibraryVersion "1.260" SourceBlock "simulink/Discontinuities/Rate Limiter\nDynamic" SourceType "Rate Limiter Dynamic" } Block { BlockType Reference Name "Rate Limiter2" SID "3037" Ports [3, 1] Position [960, 359, 1025, 401] LibraryVersion "1.260" SourceBlock "simulink/Discontinuities/Rate Limiter\nDynamic" SourceType "Rate Limiter Dynamic" } Block { BlockType Switch Name "Switch1" SID "3038" Position [550, 98, 585, 192] Criteria "u2 ~= 0" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType Switch Name "Switch4" SID "3040" Position [990, 533, 1025, 607] Threshold "1" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType Switch Name "Switch5" SID "3041" Position [925, 530, 955, 560] ShowName off Threshold "2.5" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType Reference Name "turtle1:\ngoalkeeper" SID "3042" Ports [1, 1] Position [460, 130, 490, 160] LibraryVersion "1.260" SourceBlock "simulink/Logic and Bit\nOperations/Compare\nTo Constant" SourceType "Compare To Constant" relop "==" const "1" OutDataTypeStr "uint8" ZeroCross off } Block { BlockType Outport Name "velLocalRefManual_dxdydo" SID "3043" Position [1115, 308, 1145, 322] IconDisplay "Port number" } Block { BlockType Outport Name "emergency out" SID "3046" Position [1115, 563, 1145, 577] Port "2" IconDisplay "Port number" } Line { Name "phi_refdot" Labels [0, 0] SrcBlock "Demux1" SrcPort 3 DstBlock "Product2" DstPort 2 } Line { Name "y_l_refdot" Labels [0, 0] SrcBlock "Demux1" SrcPort 2 DstBlock "Product1" DstPort 2 } Line { Name "x_l_retdot" Labels [0, 0] SrcBlock "Demux1" SrcPort 1 DstBlock "Product" DstPort 2 } Line { SrcBlock "Switch4" SrcPort 1 DstBlock "emergency out" DstPort 1 } Line { Name "manual mode switch" Labels [0, 0] SrcBlock "Bus decoder1" SrcPort 3 Points [320, 0; 0, 55] DstBlock "Switch4" DstPort 2 } Line { Name "vmax_xy" Labels [0, 0] SrcBlock "Demux3" SrcPort 1 Points [200, 0; 0, 160] DstBlock "Product" DstPort 1 } Line { Name "vmax_phi" Labels [0, 0] SrcBlock "Demux3" SrcPort 5 Points [105, 0] DstBlock "Product2" DstPort 1 } Line { Name "amax_phi" Labels [0, 0] SrcBlock "Demux3" SrcPort 6 Points [170, 0; 0, 160] Branch { DstBlock "Rate Limiter2" DstPort 1 } Branch { Points [0, 30] DstBlock "Gain1" DstPort 1 } } Line { SrcBlock "Gain3" SrcPort 1 DstBlock "Rate Limiter" DstPort 3 } Line { SrcBlock "Product" SrcPort 1 DstBlock "Rate Limiter" DstPort 2 } Line { Name "amax_xy" Labels [0, 0] SrcBlock "Demux3" SrcPort 3 Points [275, 0; 0, 105] Branch { DstBlock "Gain3" DstPort 1 } Branch { DstBlock "Rate Limiter" DstPort 1 } } Line { SrcBlock "Product1" SrcPort 1 DstBlock "Rate Limiter1" DstPort 2 } Line { SrcBlock "Product2" SrcPort 1 DstBlock "Rate Limiter2" DstPort 2 } Line { SrcBlock "Gain1" SrcPort 1 DstBlock "Rate Limiter2" DstPort 3 } Line { SrcBlock "Switch5" SrcPort 1 DstBlock "Switch4" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Switch5" DstPort 1 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "velLocalRefManual_dxdydo" DstPort 1 } Line { SrcBlock "Rate Limiter" SrcPort 1 DstBlock "Mux2" DstPort 1 } Line { SrcBlock "Rate Limiter1" SrcPort 1 DstBlock "Mux2" DstPort 2 } Line { SrcBlock "Rate Limiter2" SrcPort 1 DstBlock "Mux2" DstPort 3 } Line { SrcBlock "TRC_bus" SrcPort 1 DstBlock "Bus decoder1" DstPort 1 } Line { SrcBlock "Data Type Conversion2" SrcPort 1 Points [5, 0] Branch { DstBlock "Switch4" DstPort 3 } Branch { Points [0, -40] Branch { DstBlock "Switch5" DstPort 3 } Branch { Points [0, -10] DstBlock "Switch5" DstPort 2 } } } Line { SrcBlock "actionsbus" SrcPort 1 DstBlock "Bus decoder2" DstPort 1 } Line { SrcBlock "Motion_tuning_bus" SrcPort 1 DstBlock "Bus decoder" DstPort 1 } Line { Name "vmax_xy" Labels [0, 0] SrcBlock "Bus decoder" SrcPort 1 Points [5, 0; 0, -10] Branch { Points [0, -15] DstBlock "Mux3" DstPort 1 } Branch { DstBlock "Mux3" DstPort 2 } } Line { Name "amax_xy" Labels [0, 0] SrcBlock "Bus decoder" SrcPort 2 Points [15, 0] Branch { DstBlock "Mux3" DstPort 3 } Branch { DstBlock "Mux3" DstPort 4 } } Line { Name "vmax_phi" Labels [0, 0; 2, 1] SrcBlock "Bus decoder" SrcPort 3 Points [0, -5] DstBlock "Mux3" DstPort 5 } Line { Name "amax_phi" Labels [0, 0] SrcBlock "Bus decoder" SrcPort 4 Points [0, -10] DstBlock "Mux3" DstPort 6 } Line { SrcBlock "turtle1:\ngoalkeeper" SrcPort 1 DstBlock "Switch1" DstPort 2 } Line { SrcBlock "Mux3" SrcPort 1 Points [130, 0; 0, 75] DstBlock "Switch1" DstPort 3 } Line { SrcBlock "Mux4" SrcPort 1 Points [20, 0; 0, -100] DstBlock "Switch1" DstPort 1 } Line { SrcBlock "Switch1" SrcPort 1 DstBlock "Demux3" DstPort 1 } Line { SrcBlock "Gain2" SrcPort 1 DstBlock "Rate Limiter1" DstPort 3 } Line { SrcBlock "Demux3" SrcPort 2 Points [190, 0; 0, 200] DstBlock "Product1" DstPort 1 } Line { SrcBlock "Demux3" SrcPort 4 Points [270, 0; 0, 145] Branch { Points [0, 30] DstBlock "Gain2" DstPort 1 } Branch { DstBlock "Rate Limiter1" DstPort 1 } } Line { SrcBlock "Bus decoder1" SrcPort 2 Points [230, 0; 0, -145] DstBlock "Demux1" DstPort 1 } Line { SrcBlock "Bus decoder1" SrcPort 1 Points [70, 0; 0, -270] DstBlock "turtle1:\ngoalkeeper" DstPort 1 } Line { SrcBlock "Bus decoder" SrcPort 5 DstBlock "Mux4" DstPort 1 } Line { SrcBlock "Bus decoder" SrcPort 6 DstBlock "Mux4" DstPort 2 } Line { SrcBlock "Bus decoder" SrcPort 7 DstBlock "Mux4" DstPort 3 } Line { SrcBlock "Bus decoder" SrcPort 8 DstBlock "Mux4" DstPort 4 } Line { SrcBlock "Bus decoder" SrcPort 9 DstBlock "Mux4" DstPort 5 } Line { SrcBlock "Bus decoder" SrcPort 10 DstBlock "Mux4" DstPort 6 } Line { SrcBlock "Bus decoder2" SrcPort 1 DstBlock "Data Type Conversion2" DstPort 1 } Annotation { SID "3050" Position [993, 391] } Annotation { SID "3049" Name "0=no emergency\n1=power dip\n2=battery empty\n3=stop refbox" Position [553, 649] } Annotation { SID "3048" Name "feedthrough only\nemergency 0,1,2\nduring manual mode" Position [1083, 529] } Annotation { SID "3047" Position [415, 407] } } } Block { BlockType SubSystem Name "motion emergency handler:\namplifier and power control" SID "3051" Ports [4, 6] Position [185, 211, 420, 374] BackgroundColor "gray" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "motion emergency handler:\namplifier and power control" Location [182, 104, 1424, 853] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "emergeny" SID "3052" Position [60, 63, 90, 77] IconDisplay "Port number" } Block { BlockType Inport Name "motionbus" SID "3053" Position [145, 493, 175, 507] ForegroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "3054" Position [145, 333, 175, 347] ForegroundColor "lightBlue" Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "actionsbus" SID "3055" Position [145, 393, 175, 407] ForegroundColor "[0.700000, 0.500000, 0.000000]" Port "4" IconDisplay "Port number" } Block { BlockType Sum Name "Add" SID "3056" Ports [2, 1] Position [520, 47, 550, 78] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType S-Function Name "Bus decoder" SID "3057" Ports [1, 2] Position [220, 452, 365, 543] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |position_global_xyo|robot_active|position_local_xyo|tacho_left|tacho_right|arm_le" "ft|arm_right|battery_voltage|motor_temperatures|panic_and_reset_switches|local_y_velocity|shoot_lever_encoder|SHTs" "tatus|SHTValue|capacitorVoltage|velLocalRef_dxdydo|startBackupSoftwareSwitch|Accelerations|vel_local_xyo_dot|BH_Ov" "erheat|Status|Counter|CAM1posX|Accelerations|vel_local_xyo_dot|BH_Overheat|0 |0 " " |0 |0 |x " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'joystickOnOff');\nport_label('input',1,'TRCbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "TRCbus|off|off|off|off|off|off|off|off|off|off|off|off|off|off|on|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|TRCbus|1,2,3,4,5,6,7,8,9,10,11," "14,15,16,17,18,19,20,|17," } Block { BlockType S-Function Name "Bus decoder2" SID "3059" Ports [1, 1] Position [220, 381, 385, 419] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |desiredVelocityStrategy|aimTarget_xy|actionHandlerReturnValue|skillId|controlEnab" "le|emergencyStatus|target_xyo|waypointReached|scrum|subtarget_xy|subsubtarget_xy|kickEffort|desiredAccelerationStr" "ategy|CPPArobot|addedObstacles|shotType|smoothStopFlag|target_dxdyt|shootTarget_xy|InterceptingPass|dribbleAroundB" "allFlag|ParametersforKickEffortTuning|handBrakeFlag|currentActionID|currentActionID|0 " " |0 |0 |0 |0 " " |x |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'position_global_xyo[3]');\nport_label('input',1,'motionbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "motionbus|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|motionbus|2,3,4,5,6,7,8,9,11" ",13,14,15,16,17,18,22,20,29,30,31,|2," } Block { BlockType S-Function Name "Bus decoder1" SID "3223" Ports [1, 7] Position [120, 69, 295, 261] BackgroundColor "cyan" ShowName off FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |desiredVelocityStrategy|aimTarget_xy|actionHandlerReturnValue|skillId|controlEnab" "le|emergencyStatus|target_xyo|waypointReached|scrum|subtarget_xy|subsubtarget_xy|kickEffort|desiredAccelerationStr" "ategy|CPPArobot|addedObstacles|shotType|smoothStopFlag|target_dxdyt|shootTarget_xy|InterceptingPass|dribbleAroundB" "allFlag|ParametersforKickEffortTuning|handBrakeFlag|currentActionID|currentActionID|0 " " |0 |0 |0 |0 " " |x |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'turtleID');\nport_label('output',2,'notBallHandlingDemo');\nport_label('out" "put',3,'joystickOnOff');\nport_label('input',1,'TRCbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "TRCbus|on|off|off|off|off|off|off|off|off|off|on|off|off|off|on|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|TRCbus|1,2,3,4,5,6,7,8,9,10,11,14" ",15,16,17,18,19,20,|1,11,17," } Block { BlockType S-Function Name "Bus decoder4" SID "3225" Ports [1, 3] Position [575, 644, 775, 716] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |desiredVelocityStrategy|aimTarget_xy|actionHandlerReturnValue|skillId|kickEffort|" "controlEnable|emergencyStatus|target_xyo|waypointReached|scrum|subtarget_xy|subsubtarget_xy|desiredAccelerationStr" "ategy|CPPArobot|addedObstacles|shotType|smoothStopFlag|target_dxdyt|shootTarget_xy|InterceptingPass|dribbleAroundB" "allFlag|ParametersforKickEffortTuning|handBrakeFlag|currentActionID|currentActionID|refboxRoleTarget_xy_Role|tasks" "witch_params|Coach_Trigger|BH_AngleReference|BH_AngleReferenceKick|BH_VelocityReferenceNoBall|BH_VelReferenceNoBal" "lLow|BH_VelReferenceNoBallHigh|BH_VelReferenceCatching|BH_VelRefStupidHumanDribble|BH_StupidHumanDribbleOnOff|BH_C" "atchingTime|BH_FF_base_factor|BH_AddRetractForwardDribble|BH_AddRetractBackwardDribble|BH_AddRetractSidewaysBackAr" "m|BH_AddRetractSidewaysFrontArm|BH_PGain_CAngle|BH_PGain_CVel|BH_PGain_CVel_catching|BH_Velref_Scrum|BH_use_scrumh" "andler|K_retract_ball_time_in_ms|K_basis_value_lob|K_rate_value_lob|K_basis_value_pass|K_rate_value_pass|K_basis_v" "alue_dyn_pass|K_rate_value_dyn_pass|K_basis_value_dyn_push|K_scale_indirect_pass|K_rate_velcomp_dpass_posit|K_rate" "_velcomp_dpass_negat|K_PassTimeGain_dyn_Pass|K_maximum_value_flat|K_angle_flat_shot|K_velocity_gain_lob|K_wait_tim" "e_during_aim_in_s|K_use_demo_goal|K_height_hole1|K_height_hole2|K_height_hole3|K_FFBallSpeedOnAimTarget|K_FFBallSp" "eedOnAimTargetPass|K_FFBallSpeedOnAimTargetLob|SF_brake_gain_target_turtle|SF_brake_gain_reverse_turtle|SF_brake_g" "ain_reverse_keeper|SF_brake_gain_target_keeper|SF_brake_gain_target_pass|SF_brake_gain_reverse_pass|K_shoot_when_r" "etracted|SF_ball2robotdist_for_rotate|0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |memory variable|memory variable|me" "mory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable" "_pardata_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparams" "bus|tunable_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name" "06=&7;var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;va" "r_name14=&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name" "21=&22;var_name22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29" ";var_name29=&30;var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_n" "ame36=&37;var_name37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=" "&44;var_name44=&45;var_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;va" "r_name51=&52;var_name52=&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name" "58=&59;var_name59=&60;var_name60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66" ";var_name66=&67;var_name67=&68;var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_n" "ame73=&74;var_name74=&75;var_name75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=" "&81;var_name81=&82;var_name82=&83;var_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;va" "r_name88=&89;var_name89=&90;var_name90=&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name" "95=&96;var_name96=&97;var_name97=&98;var_name98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=" "&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'CPBarm');\nport_label('input',1,'controlbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "controlbus|off|off|off|off|off|off|off|off|off|off|off|off|off|off|on|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|controlbus|" "1,2,3,4,5,8,9,10,11,12,13,14,25,16,17,18,19,23,24,28,29,30,31,32,|17," } Block { BlockType Goto Name "Goto1" SID "1096" Position [435, 311, 550, 329] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "ball_xyzdxdydz" TagVisibility "global" } Block { BlockType Goto Name "Goto10" SID "1097" Position [435, 666, 550, 684] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "CPBmerged" TagVisibility "global" } Block { BlockType Goto Name "Goto11" SID "1098" Position [435, 411, 550, 429] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "ball_found_by_teammate" TagVisibility "global" } Block { BlockType Goto Name "Goto12" SID "1747" Position [435, 601, 550, 619] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "cur_xyo_dot_global" TagVisibility "global" } Block { BlockType Goto Name "Goto13" SID "2163" Position [435, 756, 550, 774] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "pt_of_intercept" TagVisibility "global" } Block { BlockType Goto Name "Goto2" SID "1099" Position [435, 481, 550, 499] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "cur_xyo" TagVisibility "global" } Block { BlockType Goto Name "Goto3" SID "1100" Position [435, 571, 550, 589] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "LaserPoseFound" TagVisibility "global" } Block { BlockType Goto Name "Goto5" SID "1102" Position [435, 211, 550, 229] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "ballFoundForStrategy" TagVisibility "global" } Block { BlockType Goto Name "Goto6" SID "1103" Position [435, 261, 550, 279] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "OVseeBall" TagVisibility "global" } Block { BlockType Goto Name "Goto7" SID "1104" Position [435, 511, 550, 529] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "motion_offset" TagVisibility "global" } Block { BlockType Goto Name "Goto8" SID "1105" Position [435, 541, 550, 559] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "cur_xyo_at_l2g_vision" TagVisibility "global" } Block { BlockType Goto Name "Goto9" SID "1106" Position [435, 361, 550, 379] BackgroundColor "[0.710000, 0.820000, 0.120000]" ShowName off GotoTag "ball_pos_nearest_teammate" TagVisibility "global" } Block { BlockType S-Function Name "Save point of intercept" SID "2161" Ports [2, 1] Position [215, 735, 380, 790] ZOrder -5 FunctionName "sf_save_poi" EnableBusSupport off } Block { BlockType SubSystem Name "ball update" SID "1117" Ports [8, 5] Position [215, 190, 380, 450] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ball update" Location [5, 79, 1860, 1106] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Strategy_tuning_bus" SID "1119" Position [100, 388, 130, 402] IconDisplay "Port number" } Block { BlockType Inport Name "motionbus" SID "1120" Position [105, 288, 135, 302] ForegroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "visionbus" SID "1121" Position [105, 188, 135, 202] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "cur_xyo" SID "1122" Position [105, 118, 135, 132] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "teambus" SID "1123" Position [105, 48, 135, 62] ForegroundColor "red" Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "control bus" SID "1125" Position [100, 438, 130, 452] ForegroundColor "gray" Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "2069" Position [105, 488, 135, 502] ForegroundColor "lightBlue" Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "actionsbus" SID "2249" Position [105, 538, 135, 552] Port "8" IconDisplay "Port number" } Block { BlockType SubSystem Name "Tracker" SID "1158" Ports [8, 6] Position [525, 165, 735, 575] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Tracker" Location [66, 81, 1666, 876] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "visionBus" SID "1159" Position [85, 88, 115, 102] IconDisplay "Port number" } Block { BlockType Inport Name "cur_xyo" SID "1160" Position [85, 158, 115, 172] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "motionBus" SID "1161" Position [85, 193, 115, 207] ForegroundColor "green" Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "teamBus" SID "1162" Position [85, 123, 115, 137] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "Strategy_tuning_bus" SID "1166" Position [85, 228, 115, 242] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "control bus" SID "1167" Position [85, 263, 115, 277] ForegroundColor "gray" Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "2067" Position [85, 298, 115, 312] ForegroundColor "lightBlue" Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "actionsbus" SID "2248" Position [85, 333, 115, 347] ForegroundColor "lightBlue" Port "8" IconDisplay "Port number" } Block { BlockType DataTypeConversion Name "Data Type Conversion1" SID "1168" Position [1305, 392, 1340, 408] ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType DataTypeConversion Name "Data Type Conversion2" SID "1169" Position [1305, 362, 1340, 378] ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType DataTypeConversion Name "Data Type Conversion3" SID "1170" Position [400, 443, 470, 477] BlockMirror on ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Mux Name "Mux4" SID "1171" Ports [2, 1] Position [790, 211, 800, 304] ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Reference Name "Protected Rate Tansition1" SID "1172" Ports [1, 1] Position [1210, 331, 1260, 349] BackgroundColor "red" ShowName off LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "0.001" } Block { BlockType Reference Name "Protected Rate Tansition2" SID "1173" Ports [1, 1] Position [1210, 361, 1260, 379] BackgroundColor "red" ShowName off LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "0.001" } Block { BlockType Reference Name "Protected Rate Tansition3" SID "1174" Ports [1, 1] Position [1210, 271, 1260, 289] BackgroundColor "red" ShowName off LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "0.001" } Block { BlockType Reference Name "Protected Rate Tansition4" SID "1175" Ports [1, 1] Position [1210, 391, 1260, 409] BackgroundColor "red" ShowName off LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "0.001" } Block { BlockType Reference Name "Protected Rate Tansition5" SID "1176" Ports [1, 1] Position [1210, 421, 1260, 439] BackgroundColor "red" ShowName off LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "0.001" } Block { BlockType Reference Name "Protected Rate Tansition6" SID "2073" Ports [1, 1] Position [1210, 301, 1260, 319] BackgroundColor "red" ShowName off LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "0.001" } Block { BlockType Reference Name "Protected Rate Tansition7" SID "2256" Ports [1, 1] Position [1210, 451, 1260, 469] BackgroundColor "red" ShowName off LibraryVersion "1.51" SourceBlock "Robocup/Protected Rate Transition" SourceType "ProtectedRateTransition" period "0.001" } Block { BlockType DiscretePulseGenerator Name "Pulse\nGenerator" SID "1177" Ports [0, 1] Position [380, 530, 440, 570] Period "10" SampleTime "Ts" } Block { BlockType SubSystem Name "Task Block" SID "1178" Ports [2, 3] Position [840, 228, 960, 342] BackgroundColor "[0.419453, 0.518498, 1.000000]" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "Task Parameters" MaskDescription "The task block has to be used to create a subtask which is not\nrunning at the base rate bu" "t is triggered by an external signal.\nThe subtask has to be put in an enabled subsytem enabled by this block.\n" "All inputs of the subtask have to be led to the subtask via \nthis block. The ready flag will be high when the s" "ubtaks is ready." MaskPromptString "CPU Affinity|Priority (1=low, 99=high)|Period in s|Ignition for self-triggering" MaskStyleString "popup(cpu 0|cpu 1|cpu 2|cpu 3),edit,edit,checkbox" MaskVariables "cpu=@1;tkpriority=@2;period=@3;ignition=@4;" MaskTunableValueString "on,on,on,on" MaskCallbackString "|||" MaskEnableString "on,on,on,on" MaskVisibilityString "on,on,off,on" MaskToolTipString "on,on,on,on" MaskInitialization "period=1.005;" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "cpu 3|99||off" System { Name "Task Block" Location [654, 183, 1504, 896] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Inputs" SID "1179" Position [210, 423, 240, 437] IconDisplay "Port number" } Block { BlockType Inport Name "Trigger" SID "1180" Position [290, 113, 320, 127] Port "2" IconDisplay "Port number" } Block { BlockType SubSystem Name "Protected Rate Transition" SID "1181" Ports [1, 1] Position [295, 401, 355, 459] BackgroundColor "red" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "ProtectedRateTransition" MaskDescription "Handle transfer of data between ports operating at different rates. Data transfer is protec" "ted by a semaphore. To be used only in combination with MultiTasking Target for Linux." MaskPromptString "Output port sample time:" MaskStyleString "edit" MaskVariables "period=@1;" MaskTunableValueString "on" MaskEnableString "on" MaskVisibilityString "on" MaskToolTipString "on" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "period" System { Name "Protected Rate Transition" Location [412, 665, 1226, 990] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "1182" Position [80, 138, 110, 152] IconDisplay "Port number" } Block { BlockType S-Function Name "S-Function1" SID "1183" Ports [1, 2] Position [185, 103, 320, 187] BackgroundColor "red" FunctionName "sf_protected_write_output" EnableBusSupport off } Block { BlockType S-Function Name "S-Function2" SID "1184" Ports [2, 1] Position [355, 103, 480, 187] BackgroundColor "green" FunctionName "sf_protected_read_input" Parameters "period" EnableBusSupport off } Block { BlockType Outport Name "Out1" SID "1185" Position [550, 138, 580, 152] IconDisplay "Port number" } Line { SrcBlock "S-Function1" SrcPort 1 DstBlock "S-Function2" DstPort 1 } Line { SrcBlock "S-Function1" SrcPort 2 DstBlock "S-Function2" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "S-Function1" DstPort 1 } Line { SrcBlock "S-Function2" SrcPort 1 DstBlock "Out1" DstPort 1 } } } Block { BlockType RateTransition Name "Rate Transition1" SID "1186" Position [285, 149, 325, 191] Deterministic off OutPortSampleTime "0" } Block { BlockType RateTransition Name "Rate Transition2" SID "1187" Position [410, 169, 450, 211] Deterministic off OutPortSampleTime "0" } Block { BlockType S-Function Name "S-Function" SID "1188" Ports [3, 1] Position [535, 141, 645, 199] BackgroundColor "red" FunctionName "sf_task_trigger" Parameters "ignition" EnableBusSupport off } Block { BlockType S-Function Name "Set Task Parameters" SID "1189" Ports [0, 2] Position [100, 153, 240, 222] BackgroundColor "green" FunctionName "sf_task_parameters" Parameters "cpu, tkpriority, period" EnableBusSupport off } Block { BlockType Outport Name "Enable" SID "1190" Position [595, 228, 625, 242] IconDisplay "Port number" } Block { BlockType Outport Name "Task Inputs" SID "1191" Position [415, 423, 445, 437] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Ready" SID "1192" Position [690, 163, 720, 177] Port "3" IconDisplay "Port number" } Line { SrcBlock "Protected Rate Transition" SrcPort 1 DstBlock "Task Inputs" DstPort 1 } Line { SrcBlock "Inputs" SrcPort 1 DstBlock "Protected Rate Transition" DstPort 1 } Line { SrcBlock "Trigger" SrcPort 1 Points [105, 0; 0, 30] DstBlock "S-Function" DstPort 1 } Line { SrcBlock "Set Task Parameters" SrcPort 1 DstBlock "Rate Transition1" DstPort 1 } Line { SrcBlock "Rate Transition1" SrcPort 1 DstBlock "S-Function" DstPort 2 } Line { SrcBlock "S-Function" SrcPort 1 DstBlock "Ready" DstPort 1 } Line { SrcBlock "Set Task Parameters" SrcPort 2 Points [20, 0; 0, 30; 80, 0] Branch { DstBlock "Enable" DstPort 1 } Branch { Points [0, -45] DstBlock "Rate Transition2" DstPort 1 } } Line { SrcBlock "Rate Transition2" SrcPort 1 DstBlock "S-Function" DstPort 3 } } } Block { BlockType UnitDelay Name "Unit Delay4" SID "2242" Position [515, 443, 550, 477] BlockMirror on ShowName off SampleTime "-1" } Block { BlockType Terminator Name "a" SID "1194" Position [980, 315, 1000, 335] } Block { BlockType Terminator Name "a1" SID "2257" Position [1310, 450, 1330, 470] } Block { BlockType SubSystem Name "ball tracker" SID "1195" Ports [1, 7, 1] Position [1040, 267, 1165, 473] TreatAsAtomicUnit on MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ball tracker" Location [207, 274, 1384, 816] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In" SID "1196" Position [115, 163, 145, 177] IconDisplay "Port number" } Block { BlockType EnablePort Name "Enable" SID "1197" Ports [] Position [60, 40, 80, 60] } Block { BlockType S-Function Name "Ball Model" SID "1198" Ports [3, 7] Position [415, 77, 575, 353] BackgroundColor "orange" FunctionName "sf_sc_bm" EnableBusSupport off MaskDisplay "port_label('input', 1, 'ball_data')\nport_label('input', 2, 'tunable param')\nport_label('inpu" "t', 3, 'next ball trigger')\n\nport_label('output', 1, 'ball_xyz_xyzdot')\nport_label('output', 2, 'ball_confide" "nce')\nport_label('output', 3, 'measurement added')\nport_label('output', 4, 'Measurement type')\nport_label('ou" "tput', 5, 'Tracker Time')\nport_label('output', 6, 'ball_xyz_hat')\nport_label('output', 7, 'calculation time')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Demux Name "Demux2" SID "1199" Ports [1, 2] Position [220, 80, 235, 260] BackgroundColor "black" ShowName off Outputs "2" DisplayOption "bar" } Block { BlockType Ground Name "Ground" SID "1200" Position [340, 295, 360, 315] } Block { BlockType Outport Name "ball_xyz_xyzdot" SID "1202" Position [740, 88, 770, 102] IconDisplay "Port number" } Block { BlockType Outport Name "ball_xyz_est" SID "2072" Position [740, 288, 770, 302] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "confidence" SID "1203" Position [740, 128, 770, 142] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "measurement added" SID "1204" Position [740, 168, 770, 182] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "measurement type" SID "1205" Position [740, 208, 770, 222] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "tracker time" SID "1206" Position [745, 248, 775, 262] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "calc_time" SID "2255" Position [745, 328, 775, 342] Port "7" IconDisplay "Port number" } Line { SrcBlock "In" SrcPort 1 DstBlock "Demux2" DstPort 1 } Line { SrcBlock "Ball Model" SrcPort 4 DstBlock "measurement type" DstPort 1 } Line { SrcBlock "Ball Model" SrcPort 3 DstBlock "measurement added" DstPort 1 } Line { SrcBlock "Ball Model" SrcPort 5 DstBlock "tracker time" DstPort 1 } Line { SrcBlock "Ball Model" SrcPort 2 DstBlock "confidence" DstPort 1 } Line { SrcBlock "Ball Model" SrcPort 1 DstBlock "ball_xyz_xyzdot" DstPort 1 } Line { SrcBlock "Demux2" SrcPort 1 DstBlock "Ball Model" DstPort 1 } Line { SrcBlock "Ground" SrcPort 1 DstBlock "Ball Model" DstPort 3 } Line { SrcBlock "Demux2" SrcPort 2 DstBlock "Ball Model" DstPort 2 } Line { SrcBlock "Ball Model" SrcPort 6 DstBlock "ball_xyz_est" DstPort 1 } Line { SrcBlock "Ball Model" SrcPort 7 DstBlock "calc_time" DstPort 1 } } } Block { BlockType S-Function Name "ball tracker pre processor" SID "1207" Ports [9, 1] Position [350, 73, 720, 397] FunctionName "balltrackpreproc" EnableBusSupport off MaskDisplay "port_label('input', 1, 'visionbus')\nport_label('input', 2, 'teambus')\nport_label('input', 3," " 'cur_xyo')\nport_label('input', 4, 'Motionbus')\nport_label('input', 5, 'Strategy tuning bus')\nport_label('inp" "ut', 6, 'Controlbus')\nport_label('input', 7, 'TRC bus')\nport_label('input', 8, 'actions bus')\nport_label('inp" "ut', 9, 'Tracker processed data')\n\nport_label('output', 1, 'ball_data[MAXBALLS]')\n" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Outport Name "Ball_xyz_xyzdot" SID "1208" Position [1385, 273, 1415, 287] IconDisplay "Port number" } Block { BlockType Outport Name "Ball_xyz_est" SID "2074" Position [1385, 303, 1415, 317] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "confidence" SID "1209" Position [1385, 333, 1415, 347] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Measurement added" SID "1210" Position [1385, 363, 1415, 377] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "TrackerTime" SID "1211" Position [1385, 423, 1415, 437] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "BallSource(OV,fc,LRF..)" SID "1212" Position [1385, 393, 1415, 407] Port "6" IconDisplay "Port number" } Line { SrcBlock "ball tracker pre processor" SrcPort 1 DstBlock "Mux4" DstPort 1 } Line { SrcBlock "Task Block" SrcPort 3 DstBlock "a" DstPort 1 } Line { SrcBlock "teamBus" SrcPort 1 DstBlock "ball tracker pre processor" DstPort 2 } Line { SrcBlock "visionBus" SrcPort 1 DstBlock "ball tracker pre processor" DstPort 1 } Line { SrcBlock "Data Type Conversion1" SrcPort 1 DstBlock "BallSource(OV,fc,LRF..)" DstPort 1 } Line { SrcBlock "Data Type Conversion2" SrcPort 1 DstBlock "Measurement added" DstPort 1 } Line { SrcBlock "ball tracker" SrcPort 4 DstBlock "Protected Rate Tansition2" DstPort 1 } Line { SrcBlock "ball tracker" SrcPort 5 DstBlock "Protected Rate Tansition4" DstPort 1 } Line { SrcBlock "ball tracker" SrcPort 6 DstBlock "Protected Rate Tansition5" DstPort 1 } Line { SrcBlock "ball tracker" SrcPort 3 DstBlock "Protected Rate Tansition1" DstPort 1 } Line { SrcBlock "cur_xyo" SrcPort 1 DstBlock "ball tracker pre processor" DstPort 3 } Line { SrcBlock "Strategy_tuning_bus" SrcPort 1 Points [90, 0] Branch { Points [0, 190; 540, 0; 0, -145] DstBlock "Mux4" DstPort 2 } Branch { DstBlock "ball tracker pre processor" DstPort 5 } } Line { SrcBlock "motionBus" SrcPort 1 DstBlock "ball tracker pre processor" DstPort 4 } Line { SrcBlock "Pulse\nGenerator" SrcPort 1 Points [380, 0; 0, -90] Branch { DstBlock "Task Block" DstPort 2 } Branch { Points [0, 0] DstBlock "Unit Delay4" DstPort 1 } } Line { SrcBlock "ball tracker" SrcPort 2 DstBlock "Protected Rate Tansition6" DstPort 1 } Line { SrcBlock "Unit Delay4" SrcPort 1 DstBlock "Data Type Conversion3" DstPort 1 } Line { SrcBlock "ball tracker" SrcPort 7 DstBlock "Protected Rate Tansition7" DstPort 1 } Line { SrcBlock "Protected Rate Tansition3" SrcPort 1 DstBlock "Ball_xyz_xyzdot" DstPort 1 } Line { SrcBlock "ball tracker" SrcPort 1 DstBlock "Protected Rate Tansition3" DstPort 1 } Line { SrcBlock "Protected Rate Tansition7" SrcPort 1 DstBlock "a1" DstPort 1 } Line { SrcBlock "Protected Rate Tansition5" SrcPort 1 DstBlock "TrackerTime" DstPort 1 } Line { SrcBlock "Protected Rate Tansition4" SrcPort 1 DstBlock "Data Type Conversion1" DstPort 1 } Line { SrcBlock "Protected Rate Tansition2" SrcPort 1 DstBlock "Data Type Conversion2" DstPort 1 } Line { SrcBlock "Protected Rate Tansition1" SrcPort 1 DstBlock "confidence" DstPort 1 } Line { SrcBlock "Protected Rate Tansition6" SrcPort 1 DstBlock "Ball_xyz_est" DstPort 1 } Line { SrcBlock "Task Block" SrcPort 2 Points [45, 0; 0, 85] DstBlock "ball tracker" DstPort 1 } Line { SrcBlock "Mux4" SrcPort 1 DstBlock "Task Block" DstPort 1 } Line { SrcBlock "Task Block" SrcPort 1 Points [135, 0] DstBlock "ball tracker" DstPort enable } Line { SrcBlock "Data Type Conversion3" SrcPort 1 Points [-100, 0; 0, -85] DstBlock "ball tracker pre processor" DstPort 9 } Line { SrcBlock "actionsbus" SrcPort 1 DstBlock "ball tracker pre processor" DstPort 8 } Line { SrcBlock "TRCbus" SrcPort 1 DstBlock "ball tracker pre processor" DstPort 7 } Line { SrcBlock "control bus" SrcPort 1 DstBlock "ball tracker pre processor" DstPort 6 } } } Block { BlockType S-Function Name "ball selector" SID "1213" Ports [8, 9] Position [800, 14, 1035, 586] FunctionName "ball_selector" EnableBusSupport off MaskDisplay "port_label('input', 1, 'teambus')\nport_label('input', 2, 'cur_xyo')\nport_label('input', 3, 'ball" "_xyz xyz_dot')\nport_label('input', 4, 'ball_xy_est')\nport_label('input', 5, 'ball confidence')\nport_label('inpu" "t', 6, 'measurement added')\nport_label('input', 7, 'Tracker time')\nport_label('input', 8, 'Strategy tuning')\n\n" "port_label('output', 1, 'ball_t')\nport_label('output', 2, 'ball found')\nport_label('output', 3, 'ball_xyz neares" "t peer')\nport_label('output', 4, 'ball found nearest peer')\nport_label('output', 5, 'see ball team')\nport_label" "('output', 6, 'see ball')\nport_label('output', 7, 'ball source turtleID')\nport_label('output', 8, 'ball confiden" "ce')\nport_label('output', 9, 'ball xyz xyzdot for BS')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Terminator Name "fc_confidence6" SID "1214" Position [1115, 290, 1135, 310] ShowName off } Block { BlockType S-Function Name "mccomm ball" SID "1215" Ports [5] Position [1450, 330, 1610, 660] BackgroundColor "green" FunctionName "mccomm_ball" EnableBusSupport off MaskDisplay "port_label('input', 1, 'see ball')\nport_label('input', 2, 'turtleID used ball')\nport_label('inpu" "t', 3, 'merged ball conf')\nport_label('input', 4, 'merged ball [xyz xyzdot]')\nport_label('input', 5, 'merged bal" "l source')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Outport Name "ball_found" SID "1217" Position [1110, 98, 1140, 112] IconDisplay "Port number" } Block { BlockType Outport Name "seeball" SID "1218" Position [1450, 283, 1480, 297] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "ball_xyz/xyzdot" SID "1219" Position [1110, 33, 1140, 47] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "ball_pos_nearest_teammate" SID "1220" Position [1110, 163, 1140, 177] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "ball_found_by_teammate" SID "1221" Position [1110, 228, 1140, 242] Port "5" IconDisplay "Port number" } Line { SrcBlock "visionbus" SrcPort 1 DstBlock "Tracker" DstPort 1 } Line { SrcBlock "motionbus" SrcPort 1 DstBlock "Tracker" DstPort 3 } Line { SrcBlock "cur_xyo" SrcPort 1 Points [70, 0] Branch { DstBlock "ball selector" DstPort 2 } Branch { Points [0, 120] DstBlock "Tracker" DstPort 2 } } Line { SrcBlock "teambus" SrcPort 1 Points [85, 0] Branch { DstBlock "ball selector" DstPort 1 } Branch { Points [0, 290] DstBlock "Tracker" DstPort 4 } } Line { SrcBlock "Tracker" SrcPort 1 DstBlock "ball selector" DstPort 3 } Line { SrcBlock "ball selector" SrcPort 1 DstBlock "ball_xyz/xyzdot" DstPort 1 } Line { Labels [3, 0] SrcBlock "Tracker" SrcPort 6 Points [15, 0; 0, 80] DstBlock "mccomm ball" DstPort 5 } Line { SrcBlock "Tracker" SrcPort 2 DstBlock "ball selector" DstPort 4 } Line { SrcBlock "Tracker" SrcPort 3 DstBlock "ball selector" DstPort 5 } Line { SrcBlock "Tracker" SrcPort 4 DstBlock "ball selector" DstPort 6 } Line { SrcBlock "Tracker" SrcPort 5 DstBlock "ball selector" DstPort 7 } Line { SrcBlock "control bus" SrcPort 1 DstBlock "Tracker" DstPort 6 } Line { SrcBlock "TRCbus" SrcPort 1 DstBlock "Tracker" DstPort 7 } Line { SrcBlock "Strategy_tuning_bus" SrcPort 1 Points [310, 0] Branch { DstBlock "Tracker" DstPort 5 } Branch { Points [0, 190; 330, 0; 0, -40] DstBlock "ball selector" DstPort 8 } } Line { SrcBlock "actionsbus" SrcPort 1 DstBlock "Tracker" DstPort 8 } Line { SrcBlock "ball selector" SrcPort 2 DstBlock "ball_found" DstPort 1 } Line { SrcBlock "ball selector" SrcPort 3 DstBlock "ball_pos_nearest_teammate" DstPort 1 } Line { SrcBlock "ball selector" SrcPort 4 DstBlock "ball_found_by_teammate" DstPort 1 } Line { SrcBlock "ball selector" SrcPort 5 DstBlock "fc_confidence6" DstPort 1 } Line { SrcBlock "ball selector" SrcPort 6 Points [275, 0] Branch { DstBlock "mccomm ball" DstPort 1 } Branch { Points [0, -75] DstBlock "seeball" DstPort 1 } } Line { SrcBlock "ball selector" SrcPort 7 DstBlock "mccomm ball" DstPort 2 } Line { SrcBlock "ball selector" SrcPort 8 DstBlock "mccomm ball" DstPort 3 } Line { SrcBlock "ball selector" SrcPort 9 DstBlock "mccomm ball" DstPort 4 } } } Block { BlockType SubSystem Name "create sensorfusionbus" SID "1222" Ports [0, 1] Position [35, 13, 115, 57] BackgroundColor "cyan" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "create sensorfusionbus" Location [273, 254, 843, 787] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType S-Function Name "Bus assigner" SID "1223" Ports [12, 1] Position [235, 91, 470, 459] BackgroundColor "cyan" FunctionName "sf_bus_assign" Parameters "sizes,offsets,portwidth,types,bus_is_in,bus_size" EnableBusSupport off MaskType "Assign variables in bus." MaskDescription "Bus assigner to assign values to variables in a bus. \nThis block can overwrite values in an ex" "isting bus as well as \ncreate a bus and write values to certain parameters. Busses \ncan be editted and created u" "sing the busmanager. Note that \ndeleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |Bus is input |ballFoundForStrategy|OVseeBall|ball_xyzdxdydz|ball_pos_nearest_team" "mate|ball_found_by_teammate|cur_xyo|motion_offset|cur_xyo_at_l2g_vision|laser_pose_found|CPB_merged|cur_xyo_dot|cp" "b_poi_xyo|Coach_Detected|sound_comm|sound_comm|ActivateKeeperFrame|opp_xydxdyr|emergencyStatus|shootLeverState|rs4" "85ControlCh1|rs485ControlCh2|shotFinished|0 |0 |0 " " |0 |0 |0 " " |0 |0 |x |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |memory" " variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'pose_xyo_ov[3]');\nport_label('output',2,'pose_ov_found');\nport_label('out" "put',3,'t_VL');\nport_label('output',4,'image_time_ov');\nport_label('output',5,'l2g_vision_time');\nport_label('i" "nput',1,'visionbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "visionbus|on|on|off|off|off|off|off|on|on|on|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|visionbus|1,2,3,4,5,6,7,8,9,12,1" "3,14,15,16,|1,2,12,8,9," } Block { BlockType S-Function Name "Bus decoder1" SID "1242" Ports [1, 2] Position [670, 557, 855, 593] BackgroundColor "cyan" FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |position_global_xyo|robot_active|position_local_xyo|tacho_left|tacho_right|arm_le" "ft|arm_right|battery_voltage|motor_temperatures|panic_and_reset_switches|local_y_velocity|shoot_lever_encoder|SHTs" "tatus|SHTValue|capacitorVoltage|velLocalRef_dxdydo|startBackupSoftwareSwitch|Accelerations|vel_local_xyo_dot|BH_Ov" "erheat|Status|Counter|CAM1posX|Accelerations|vel_local_xyo_dot|BH_Overheat|0 |0 " " |0 |0 |x " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable" "_pardata_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparams" "bus|tunable_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox," "checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,che" "ckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkb" "ox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;bus_is_in=@2;var_name01=&3;var_name02=&4;var_name03=&5;var_name04=&6;var_name0" "5=&7;var_name06=&8;var_name07=&9;var_name08=&10;var_name09=&11;var_name10=&12;var_name11=&13;var_name12=&14;var" "_name13=&15;var_name14=&16;var_name15=&17;var_name16=&18;var_name17=&19;var_name18=&20;var_name19=&21;var_name2" "0=&22;var_name21=&23;var_name22=&24;var_name23=&25;var_name24=&26;var_name25=&27;var_name26=&28;var_name27=&29;" "var_name28=&30;var_name29=&31;var_name30=&32;var_name31=&33;var_name32=&34;var_name33=&35;var_name34=&36;var_na" "me35=&37;var_name36=&38;var_name37=&39;var_name38=&40;var_name39=&41;var_name40=&42;var_name41=&43;var_name42=&" "44;var_name43=&45;var_name44=&46;var_name45=&47;var_name46=&48;var_name47=&49;var_name48=&50;var_name49=&51;var" "_name50=&52;var_name51=&53;var_name52=&54;var_name53=&55;var_name54=&56;var_name55=&57;var_name56=&58;var_name5" "7=&59;var_name58=&60;var_name59=&61;var_name60=&62;var_name61=&63;var_name62=&64;var_name63=&65;var_name64=&66;" "var_name65=&67;var_name66=&68;var_name67=&69;var_name68=&70;var_name69=&71;var_name70=&72;var_name71=&73;var_na" "me72=&74;var_name73=&75;var_name74=&76;var_name75=&77;var_name76=&78;var_name77=&79;var_name78=&80;var_name79=&" "81;var_name80=&82;var_name81=&83;var_name82=&84;var_name83=&85;var_name84=&86;var_name85=&87;var_name86=&88;var" "_name87=&89;var_name88=&90;var_name89=&91;var_name90=&92;var_name91=&93;var_name92=&94;var_name93=&95;var_name9" "4=&96;var_name95=&97;var_name96=&98;var_name97=&99;var_name98=&100;var_name99=&101;var_name100=&102;selected_bu" "s=&103;var_ids=&104;checked_var_ids=&105;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_assign('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "|||||||||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,of" "f,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on" MaskInitialization "mask_sf_bus_assign('init');\n" MaskSelfModifiable on MaskDisplay "port_label('input',1,'strategybus');\nport_label('input',2,'assigned_refbox_roles[MAX_ACTIVE" "_TURTLES]');\nport_label('input',3,'assigned_game_roles[MAX_ACTIVE_TURTLES]');\nport_label('input',4,'taskswitc" "h_params[4]');\nport_label('input',5,'assigned_refbox_role');\nport_label('input',6,'assigned_game_role');\npor" "t_label('output',1,'strategybus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "strategybus|on|on|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|on|off|" "on|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|strategybus" "|2,3,9,11,13,14,15,16,18,20,21,22,26,27,28,30,31,32,37,38,39,40,42,41,43,44,45,46,47,48,49,50,51,52,56,55,57,58" ",60,61,62,63,64,|38,39,32,2,3," } Block { BlockType Goto Name "Goto1" SID "3830" Position [900, 846, 1015, 864] BackgroundColor "orange" ShowName off GotoTag "current_role" TagVisibility "global" } Block { BlockType Goto Name "Goto8" SID "3831" Position [790, 156, 905, 174] BackgroundColor "orange" ShowName off GotoTag "AddedObstacles" TagVisibility "global" } Block { BlockType S-Function Name "Role assigner" SID "3832" Ports [6, 5] Position [345, 705, 685, 825] BackgroundColor "orange" FunctionName "role_assigner" EnableBusSupport off MaskInitialization "labels = getLabels('role_assigner.c');\nset_param(gcb,'MaskDisplay',labels);" MaskDisplay "port_label('input',1,'strategy bus')\nport_label('input',2,'TRC bus')\nport_label('input',3," "'Team bus')\nport_label('input',4,'DEFCON')\nport_label('input',5,'tunable parameters strategy bus')\nport_labe" "l('input',6,'sensorfusion bus')\nport_label('output',1,'assigned_refbox_roles: from RA')\nport_label('output',2" ",'assigned_game_roles: from RA')\nport_label('output',3,'roleswitch_parameters')\nport_label('output',4,'assign" "ed_refbox_role: from teambus')\nport_label('output',5,'assigned_game_role: from teambus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType S-Function Name "Task Executer" SID "3833" Ports [12, 4] Position [345, 33, 685, 377] BackgroundColor "orange" FunctionName "task_executer" EnableBusSupport off MaskInitialization "labels = getLabels('task_executer.c');\nset_param(gcb,'MaskDisplay',labels);" MaskDisplay "port_label('input',1,'tunable parameters motion bus')\nport_label('input',2,'tunable paramet" "ers strategy bus')\nport_label('input',3,'sensorfusionbus')\nport_label('input',4,'motionbus')\nport_label('inp" "ut',5,'visionbus')\nport_label('input',6,'controlbus')\nport_label('input',7,'actionsbus')\nport_label('input'," "8,'teambus')\nport_label('input',9,'TRCbus')\nport_label('input',10,'Strategy Bus')\nport_label('input',11,'DEF" "CON')\nport_label('input',12,'Mu optimal positions')\nport_label('output',1,'action handler parameters')\nport_" "label('output',2,'added obstacles [Obstacle_t]')\nport_label('output',3,'Strategy bus')\nport_label('output',4," "'Mu handler parameters')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType S-Function Name "defcon" SID "3834" Ports [7, 1] Position [345, 501, 685, 669] BackgroundColor "orange" FunctionName "defcon" EnableBusSupport off MaskDisplay "port_label('input',1,'tunable parameters motion bus')\nport_label('input',2,'tunable paramet" "ers strategy bus')\nport_label('input',3,'sensorfusionbus')\nport_label('input',4,'vision bus')\nport_label('in" "put',5,'actionsbus')\nport_label('input',6,'teambus')\nport_label('input',7,'strategy bus')\n\nport_label('outp" "ut',1,'DefConStruct')\n\n\n\n\n" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType SubSystem Name "sf_mu" SID "3835" Ports [5, 1] Position [350, 396, 685, 454] ZOrder -17 BlockMirror on BackgroundColor "orange" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "sf_mu" Location [192, 512, 1581, 990] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Mu Handler parameters" SID "3836" Position [50, 53, 80, 67] ZOrder -1 IconDisplay "Port number" } Block { BlockType Inport Name "Sensorfusionbus" SID "3869" Position [50, 153, 80, 167] ZOrder -1 Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Teambus" SID "3872" Position [50, 293, 80, 307] ZOrder -1 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Strategy_tuning_bus" SID "3878" Position [50, 373, 80, 387] ZOrder -1 Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "TRC_bus" SID "3893" Position [50, 413, 80, 427] ZOrder -1 Port "5" IconDisplay "Port number" } Block { BlockType S-Function Name "Bus decoder" SID "3870" Ports [1, 4] Position [130, 82, 285, 238] BackgroundColor "cyan" ShowName off FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |ballFoundForStrategy|OVseeBall|ball_xyzdxdydz|ball_pos_nearest_teammate|ball_foun" "d_by_teammate|cur_xyo|motion_offset|cur_xyo_at_l2g_vision|laser_pose_found|CPB_merged|cur_xyo_dot|cpb_poi_xyo|Coac" "h_Detected|va_max|CPBarm|emergencyStatus|shootLeverState|shotFinished|cur_xydot|fastStopFlag|scrum_light_flag|capa" "citorState|smoothStopConverged|shotInProgress|smoothStopConverged|0 |0 " " |0 |0 |0 " " |x |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |0 " " |0 |0 |0 " " |0 |0 |0 |" "0 |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'WMopponents[MAX_OPPONENTS]');\nport_label('output',2,'current_xyo[MAX_ACTIV" "E_TURTLES]');\nport_label('output',3,'turtleTargets[MAX_ACTIVE_TURTLES]');\nport_label('input',1,'teambus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "teambus|off|off|off|off|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|on|off|off|off|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|" "off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|of" "f|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|teambus|1,2,3,4,78,5,44,6,7,8,9," "69,10,34,70,35,13,14,15,16,17,72,73,19,20,21,67,48,22,23,24,25,26,31,27,28,29,30,32,43,74,50,52,75,53,56,57,58,60," "61,62,63,66,71,76,77,79,80,|21,5,24," } Block { BlockType S-Function Name "Bus decoder2" SID "3879" Ports [1, 1] Position [130, 363, 385, 397] BackgroundColor "cyan" ShowName off FunctionName "sf_bus_decode" Parameters "sizes,offsets,portwidth,types,bus_size" EnableBusSupport off MaskType "Decode bus variables." MaskDescription "Bus decoder to obtain values of bus variables. \nBusses can be editted and created using the bu" "smanager. Note that deleting input variables of this bus assigner will mix the inputs." MaskPromptString "Name of bus |GFkickingRegion|GFkickingRegionNear|GFCornerRegionKick|GFactiveDefendLine|AHaimPr" "ecision|AHmin_aimed_time_ms_dyn_pass|AHmin_aimed_time_ms_nobs_near|AHmin_clearance_no_nobs_near|AHFastinterceptgai" "n|AHaccShortPass|AHaccLongPass|AHuseBackwardAttack|AHobstacleAvoidanceDistance|AHvelmaxRatioJdB|AHJdBDBintercept|R" "HcornerRegionLength|RHballMoveThresholdactive|RHballMoveThresholdpassive|PCdistfromBall_IndirectPass|PCtype_Indire" "ctPass|PCtesting|PCdemotype|PCTestDistance|PCTestDistanceX|PCTestDistanceY|PCTestAlongTheta|PCTestWaitTime|PCTestE" "ffort|PCNumberObsBlockSpeed|PCBasewidthTrapBefP|PCInterceptBallCatchDistT|SHattackermain_tsa_dist|SH_AAwrtAM_tsr_d" "ist|SH_AAwrtDA_tsr_dist|SHdefendermain_tsa_dist|SH_AA_blocked_tsr_distance|SHdefendermain_tsr_dist|SHdefenderassis" "t_tsr_dist|SH_defensivePlay|ROHlobShotObstacleFreeDist|ROHflatShotClearanceFactor|ROHDMDistToBall|ROHAAdefDistToBa" "ll|BMnkeep|BMpfactor|BMmaxage|BMpexist_gain|BMpclutter_gain|BMsigma_OV|BMsigma_kinect|BMmin_allowed_sigma|BMexp_ti" "me_free|BMexp_time_nonfree|BMuse_OV_ball|BMuse_kinect_ball|BMuse_kinect_ball_keeper|BMdist2ball_to_use_peer_ball|B" "Muse_ball_xy_est|BMdelay_kinect|ShootingDelay|APW_ShootProbabilityWeight|APW_LobProbabilityWeight|APW_PassProbabil" "ityWeight|APW_DribleProbabilityWeight|APW_PushAttProbabilityWeight|APW_DeepPassProbabilityWeight|ST_Distance_for_s" "crum_detect|ST_obst_prediction_time|ST_TryStreep_Freekick|SH_DoDynamicDribbleAM|DO_FastWaypoint|coachAtBlueGoal|us" "eWhistleTrigger|useCoachSoftware|BMuseTriangulation|RBA_Offensive_StrategyLevel|AHballGrabAngle|AHballGrabDistance" "|penaltyKeeperResponseDist|penaltyOppAimThreshold|penaltyMaxOppToBallDistance|obs_blocking_for_passing_angle|Defco" "n_ScaleBallPossessor|Defcon_ScaleDistToGoal|Defcon_ScaleDistToBall|Defcon_ScaleAngleToGoal|Defcon_ScaleDistToClose" "stPeer|Defcon_ScaleAngleToBallVec|useClearTheFieldPlan|GK_dy_virtual|Defcon_SpecifySituation|use_Kinect_scan_for_a" "imAngle|Playbook|0 |0 |0 " " |0 |0 |0 |0 " " |memory variable|memory variable|memory variable" MaskStyleString "popup(|TRCbus|motionbus|teambus|visionbus|tunable_pardata_motion_bus|tunable_pardat" "a_vision_bus|tunable_pardata_strategy_bus|actionsbus|controlbus|strategybus|sensorfusionbus|refboxparamsbus|tunabl" "e_pardata_worldmodel_bus),checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,c" "heckbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbo" "x,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,checkbox,chec" "kbox,checkbox,edit,edit,edit" MaskVariables "bus_name=&1;var_name01=&2;var_name02=&3;var_name03=&4;var_name04=&5;var_name05=&6;var_name06=&7;" "var_name07=&8;var_name08=&9;var_name09=&10;var_name10=&11;var_name11=&12;var_name12=&13;var_name13=&14;var_name14=" "&15;var_name15=&16;var_name16=&17;var_name17=&18;var_name18=&19;var_name19=&20;var_name20=&21;var_name21=&22;var_n" "ame22=&23;var_name23=&24;var_name24=&25;var_name25=&26;var_name26=&27;var_name27=&28;var_name28=&29;var_name29=&30" ";var_name30=&31;var_name31=&32;var_name32=&33;var_name33=&34;var_name34=&35;var_name35=&36;var_name36=&37;var_name" "37=&38;var_name38=&39;var_name39=&40;var_name40=&41;var_name41=&42;var_name42=&43;var_name43=&44;var_name44=&45;va" "r_name45=&46;var_name46=&47;var_name47=&48;var_name48=&49;var_name49=&50;var_name50=&51;var_name51=&52;var_name52=" "&53;var_name53=&54;var_name54=&55;var_name55=&56;var_name56=&57;var_name57=&58;var_name58=&59;var_name59=&60;var_n" "ame60=&61;var_name61=&62;var_name62=&63;var_name63=&64;var_name64=&65;var_name65=&66;var_name66=&67;var_name67=&68" ";var_name68=&69;var_name69=&70;var_name70=&71;var_name71=&72;var_name72=&73;var_name73=&74;var_name74=&75;var_name" "75=&76;var_name76=&77;var_name77=&78;var_name78=&79;var_name79=&80;var_name80=&81;var_name81=&82;var_name82=&83;va" "r_name83=&84;var_name84=&85;var_name85=&86;var_name86=&87;var_name87=&88;var_name88=&89;var_name89=&90;var_name90=" "&91;var_name91=&92;var_name92=&93;var_name93=&94;var_name94=&95;var_name95=&96;var_name96=&97;var_name97=&98;var_n" "ame98=&99;var_name99=&100;var_name100=&101;selected_bus=&102;var_ids=&103;checked_var_ids=&104;" MaskTunableValueString "off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskCallbackString "mask_sf_bus_decode('init');|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||" "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" ",off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,o" "ff,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskInitialization "mask_sf_bus_decode('init');\n" MaskSelfModifiable on MaskDisplay "port_label('output',1,'roleAssignerMode');\nport_label('input',1,'TRCbus')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "TRCbus|off|off|off|off|off|off|off|off|on|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off" "|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|o" "ff|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|off|TRCbus|1,2,3,4,5,6,7,8,9,10,11," "14,15,16,17,18,19,20,|9," } Block { BlockType DataTypeConversion Name "Data Type Conversion" SID "3880" Position [410, 365, 460, 395] ZOrder -7 ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType DataTypeConversion Name "Data Type Conversion1" SID "3896" Position [410, 405, 460, 435] ZOrder -7 ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Mux Name "Mux" SID "3874" Ports [10, 1] Position [490, 46, 495, 434] ZOrder -16 ShowName off Inputs "10" DisplayOption "bar" } Block { BlockType SubSystem Name "Protected Rate Transition" SID "3837" Ports [1, 1] Position [1120, 236, 1180, 294] BackgroundColor "red" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "ProtectedRateTransition" MaskDescription "Handle transfer of data between ports operating at different rates. Data transfer is protected " "by a semaphore. To be used only in combination with MultiTasking Target for Linux." MaskPromptString "Output port sample time:" MaskStyleString "edit" MaskVariables "period=@1;" MaskTunableValueString "on" MaskEnableString "on" MaskVisibilityString "on" MaskToolTipString "on" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "Ts" System { Name "Protected Rate Transition" Location [577, 696, 1391, 1021] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "3838" Position [80, 138, 110, 152] IconDisplay "Port number" } Block { BlockType S-Function Name "S-Function1" SID "3839" Ports [1, 2] Position [185, 103, 320, 187] BackgroundColor "red" FunctionName "sf_protected_write_output" EnableBusSupport off } Block { BlockType S-Function Name "S-Function2" SID "3840" Ports [2, 1] Position [355, 103, 480, 187] BackgroundColor "green" FunctionName "sf_protected_read_input" Parameters "period" EnableBusSupport off } Block { BlockType Outport Name "Out1" SID "3841" Position [550, 138, 580, 152] IconDisplay "Port number" } Line { SrcBlock "S-Function1" SrcPort 1 DstBlock "S-Function2" DstPort 1 } Line { SrcBlock "S-Function1" SrcPort 2 DstBlock "S-Function2" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "S-Function1" DstPort 1 } Line { SrcBlock "S-Function2" SrcPort 1 DstBlock "Out1" DstPort 1 } } } Block { BlockType DiscretePulseGenerator Name "Pulse\nGenerator" SID "3842" Ports [0, 1] Position [530, 275, 590, 315] Period "round(1/20/Ts)" SampleTime "Ts" } Block { BlockType SubSystem Name "Task Block" SID "3843" Ports [2, 3] Position [635, 208, 755, 322] BackgroundColor "[0.419453, 0.518498, 1.000000]" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "Task Parameters" MaskDescription "The task block has to be used to create a subtask which is not\nrunning at the base rate but is" " triggered by an external signal.\nThe subtask has to be put in an enabled subsytem enabled by this block.\nAll in" "puts of the subtask have to be led to the subtask via \nthis block. The ready flag will be high when the subtaks i" "s ready." MaskPromptString "CPU Affinity|Priority (1=low, 99=high)|Period in s|Ignition for self-triggering" MaskStyleString "popup(cpu 0|cpu 1|cpu 2|cpu 3),edit,edit,checkbox" MaskVariables "cpu=@1;tkpriority=@2;period=@3;ignition=@4;" MaskTunableValueString "on,on,on,on" MaskCallbackString "|||" MaskEnableString "on,on,on,on" MaskVisibilityString "on,on,off,on" MaskToolTipString "on,on,on,on" MaskInitialization "period=1.003;" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "cpu 3|99|[]|on" System { Name "Task Block" Location [556, 312, 1406, 1025] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Inputs" SID "3844" Position [210, 423, 240, 437] IconDisplay "Port number" } Block { BlockType Inport Name "Trigger" SID "3845" Position [290, 113, 320, 127] Port "2" IconDisplay "Port number" } Block { BlockType SubSystem Name "Protected Rate Transition" SID "3846" Ports [1, 1] Position [295, 401, 355, 459] BackgroundColor "red" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "ProtectedRateTransition" MaskDescription "Handle transfer of data between ports operating at different rates. Data transfer is protec" "ted by a semaphore. To be used only in combination with MultiTasking Target for Linux." MaskPromptString "Output port sample time:" MaskStyleString "edit" MaskVariables "period=@1;" MaskTunableValueString "on" MaskEnableString "on" MaskVisibilityString "on" MaskToolTipString "on" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "period" System { Name "Protected Rate Transition" Location [551, 441, 1365, 766] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "3847" Position [80, 138, 110, 152] IconDisplay "Port number" } Block { BlockType S-Function Name "S-Function1" SID "3848" Ports [1, 2] Position [185, 103, 320, 187] BackgroundColor "red" FunctionName "sf_protected_write_output" EnableBusSupport off } Block { BlockType S-Function Name "S-Function2" SID "3849" Ports [2, 1] Position [355, 103, 480, 187] BackgroundColor "green" FunctionName "sf_protected_read_input" Parameters "period" EnableBusSupport off } Block { BlockType Outport Name "Out1" SID "3850" Position [550, 138, 580, 152] IconDisplay "Port number" } Line { SrcBlock "S-Function1" SrcPort 1 DstBlock "S-Function2" DstPort 1 } Line { SrcBlock "S-Function1" SrcPort 2 DstBlock "S-Function2" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "S-Function1" DstPort 1 } Line { SrcBlock "S-Function2" SrcPort 1 DstBlock "Out1" DstPort 1 } } } Block { BlockType RateTransition Name "Rate Transition1" SID "3851" Position [285, 149, 325, 191] Deterministic off OutPortSampleTime "0" } Block { BlockType RateTransition Name "Rate Transition2" SID "3852" Position [410, 169, 450, 211] Deterministic off OutPortSampleTime "0" } Block { BlockType S-Function Name "S-Function" SID "3853" Ports [3, 1] Position [535, 141, 645, 199] BackgroundColor "red" FunctionName "sf_task_trigger" Parameters "ignition" EnableBusSupport off } Block { BlockType S-Function Name "Set Task Parameters" SID "3854" Ports [0, 2] Position [100, 153, 240, 222] BackgroundColor "green" FunctionName "sf_task_parameters" Parameters "cpu, tkpriority, period" EnableBusSupport off } Block { BlockType Outport Name "Enable" SID "3855" Position [595, 228, 625, 242] IconDisplay "Port number" } Block { BlockType Outport Name "Task Inputs" SID "3856" Position [415, 423, 445, 437] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Ready" SID "3857" Position [690, 163, 720, 177] Port "3" IconDisplay "Port number" } Line { SrcBlock "Protected Rate Transition" SrcPort 1 DstBlock "Task Inputs" DstPort 1 } Line { SrcBlock "Inputs" SrcPort 1 DstBlock "Protected Rate Transition" DstPort 1 } Line { SrcBlock "Trigger" SrcPort 1 Points [105, 0; 0, 30] DstBlock "S-Function" DstPort 1 } Line { SrcBlock "Set Task Parameters" SrcPort 1 DstBlock "Rate Transition1" DstPort 1 } Line { SrcBlock "Rate Transition1" SrcPort 1 DstBlock "S-Function" DstPort 2 } Line { SrcBlock "S-Function" SrcPort 1 DstBlock "Ready" DstPort 1 } Line { SrcBlock "Set Task Parameters" SrcPort 2 Points [20, 0; 0, 30; 80, 0] Branch { DstBlock "Enable" DstPort 1 } Branch { Points [0, -45] DstBlock "Rate Transition2" DstPort 1 } } Line { SrcBlock "Rate Transition2" SrcPort 1 DstBlock "S-Function" DstPort 3 } } } Block { BlockType UnitDelay Name "Unit Delay" SID "3858" Position [1220, 248, 1255, 282] ZOrder -21 InputProcessing "Elements as channels (sample based)" SampleTime "-1" } Block { BlockType Terminator Name "a" SID "3859" Position [805, 295, 825, 315] } Block { BlockType SubSystem Name "sf_mu" SID "3860" Ports [1, 1, 1] Position [865, 241, 1070, 289] TreatAsAtomicUnit on MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "sf_mu" Location [484, 342, 1333, 637] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Mu handler parameters" SID "3861" Position [60, 158, 90, 172] IconDisplay "Port number" } Block { BlockType EnablePort Name "Enable" SID "3862" Ports [] Position [395, 25, 415, 45] } Block { BlockType DataTypeConversion Name "Data Type Conversion" SID "3881" Position [190, 208, 240, 222] ZOrder -7 ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType DataTypeConversion Name "Data Type Conversion1" SID "3898" Position [190, 223, 240, 237] ZOrder -7 ShowName off RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Demux Name "Demux" SID "3875" Ports [1, 10] Position [160, 85, 165, 240] ZOrder -7 ShowName off Outputs "10" DisplayOption "bar" } Block { BlockType S-Function Name "sf_mu" SID "3863" Ports [10, 1] Position [265, 77, 640, 248] BackgroundColor "orange" FunctionName "sf_mu" EnableBusSupport off MaskInitialization "labels = getLabels('sf_mu.c');\nset_param(gcb,'MaskDisplay',labels);" MaskDisplay "port_label('input',1,'Mu handler parameters')\nport_label('input',2,'Ball')\nport_label('input" "',3,'Cur_xyo')\nport_label('input',4,'Cur_xyo_dot')\nport_label('input',5,'Cpb_poi')\nport_label('input',6,'List" " of worldmodel obstacles')\nport_label('input',7,'List of turtle positions')\nport_label('input',8,'List of turt" "le targets')\nport_label('input',9,'Kicking region from tuning bus')\nport_label('input',10,'roleAssignerMode fr" "om trc bus')\nport_label('output',1,'list of optimal positions xy')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" } Block { BlockType Outport Name "Optimal positions xy" SID "3864" Position [705, 158, 735, 172] IconDisplay "Port number" } Line { SrcBlock "sf_mu" SrcPort 1 DstBlock "Optimal positions xy" DstPort 1 } Line { SrcBlock "Demux" SrcPort 1 DstBlock "sf_mu" DstPort 1 } Line { SrcBlock "Demux" SrcPort 2 DstBlock "sf_mu" DstPort 2 } Line { SrcBlock "Demux" SrcPort 3 DstBlock "sf_mu" DstPort 3 } Line { SrcBlock "Demux" SrcPort 4 DstBlock "sf_mu" DstPort 4 } Line { SrcBlock "Demux" SrcPort 5 DstBlock "sf_mu" DstPort 5 } Line { SrcBlock "Demux" SrcPort 6 DstBlock "sf_mu" DstPort 6 } Line { SrcBlock "Mu handler parameters" SrcPort 1 DstBlock "Demux" DstPort 1 } Line { SrcBlock "Demux" SrcPort 9 DstBlock "Data Type Conversion" DstPort 1 } Line { SrcBlock "Demux" SrcPort 7 DstBlock "sf_mu" DstPort 7 } Line { SrcBlock "Demux" SrcPort 8 DstBlock "sf_mu" DstPort 8 } Line { SrcBlock "Data Type Conversion" SrcPort 1 DstBlock "sf_mu" DstPort 9 } Line { SrcBlock "Demux" SrcPort 10 DstBlock "Data Type Conversion1" DstPort 1 } Line { SrcBlock "Data Type Conversion1" SrcPort 1 DstBlock "sf_mu" DstPort 10 } } } Block { BlockType Outport Name "Optimal Positions xy" SID "3865" Position [1310, 258, 1340, 272] ZOrder -2 IconDisplay "Port number" } Line { SrcBlock "sf_mu" SrcPort 1 DstBlock "Protected Rate Transition" DstPort 1 } Line { SrcBlock "Task Block" SrcPort 2 DstBlock "sf_mu" DstPort 1 } Line { SrcBlock "Protected Rate Transition" SrcPort 1 DstBlock "Unit Delay" DstPort 1 } Line { SrcBlock "Unit Delay" SrcPort 1 DstBlock "Optimal Positions xy" DstPort 1 } Line { SrcBlock "Task Block" SrcPort 3 DstBlock "a" DstPort 1 } Line { SrcBlock "Pulse\nGenerator" SrcPort 1 DstBlock "Task Block" DstPort 2 } Line { SrcBlock "Task Block" SrcPort 1 Points [205, 0] DstBlock "sf_mu" DstPort enable } Line { SrcBlock "Sensorfusionbus" SrcPort 1 DstBlock "Bus decoder" DstPort 1 } Line { SrcBlock "Teambus" SrcPort 1 DstBlock "Bus decoder1" DstPort 1 } Line { SrcBlock "Mu Handler parameters" SrcPort 1 DstBlock "Mux" DstPort 1 } Line { SrcBlock "Bus decoder" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "Bus decoder" SrcPort 2 DstBlock "Mux" DstPort 3 } Line { SrcBlock "Bus decoder" SrcPort 3 DstBlock "Mux" DstPort 4 } Line { SrcBlock "Bus decoder" SrcPort 4 DstBlock "Mux" DstPort 5 } Line { SrcBlock "Bus decoder1" SrcPort 1 DstBlock "Mux" DstPort 6 } Line { SrcBlock "Mux" SrcPort 1 DstBlock "Task Block" DstPort 1 } Line { SrcBlock "Strategy_tuning_bus" SrcPort 1 DstBlock "Bus decoder2" DstPort 1 } Line { SrcBlock "Bus decoder2" SrcPort 1 DstBlock "Data Type Conversion" DstPort 1 } Line { SrcBlock "Bus decoder1" SrcPort 2 DstBlock "Mux" DstPort 7 } Line { SrcBlock "Bus decoder1" SrcPort 3 DstBlock "Mux" DstPort 8 } Line { SrcBlock "Data Type Conversion" SrcPort 1 DstBlock "Mux" DstPort 9 } Line { SrcBlock "TRC_bus" SrcPort 1 DstBlock "Bus decoder3" DstPort 1 } Line { SrcBlock "Bus decoder3" SrcPort 1 DstBlock "Data Type Conversion1" DstPort 1 } Line { SrcBlock "Data Type Conversion1" SrcPort 1 DstBlock "Mux" DstPort 10 } } } Block { BlockType Outport Name "AHparStruct bus" SID "3866" Position [815, 73, 850, 87] IconDisplay "Port number" } Block { BlockType Outport Name "strategybus" SID "3867" Position [1465, 748, 1500, 762] Port "2" IconDisplay "Port number" } Line { SrcBlock "TRCbus" SrcPort 1 Points [100, 0] Branch { DstBlock "Task Executer" DstPort 9 } Branch { Points [0, 210] Branch { Points [0, 245] DstBlock "Role assigner" DstPort 2 } Branch { Points [490, 0] DstBlock "sf_mu" DstPort 5 } } } Line { SrcBlock "visionbus" SrcPort 1 Points [130, 0] Branch { DstBlock "Task Executer" DstPort 5 } Branch { Points [0, 425] DstBlock "defcon" DstPort 4 } } Line { SrcBlock "Strategy_tuning_bus" SrcPort 1 Points [50, 0] Branch { Points [115, 0] Branch { DstBlock "Task Executer" DstPort 2 } Branch { Points [0, 725] DstBlock "Role assigner" DstPort 5 } } Branch { Points [0, 405] Branch { Points [545, 0; 0, -40] DstBlock "sf_mu" DstPort 4 } Branch { Points [0, 60] DstBlock "defcon" DstPort 2 } } } Line { SrcBlock "control_bus" SrcPort 1 DstBlock "Task Executer" DstPort 6 } Line { SrcBlock "actionsbus" SrcPort 1 Points [40, 0] Branch { DstBlock "Task Executer" DstPort 7 } Branch { Points [0, 390] DstBlock "defcon" DstPort 5 } } Line { SrcBlock "teambus" SrcPort 1 Points [115, 0] Branch { DstBlock "Task Executer" DstPort 8 } Branch { Points [0, 230] Branch { Points [485, 0; 0, -55] DstBlock "sf_mu" DstPort 3 } Branch { Points [0, 155] Branch { Points [0, 120] DstBlock "Role assigner" DstPort 3 } Branch { DstBlock "defcon" DstPort 6 } } } } Line { SrcBlock "strategybus " SrcPort 1 Points [184, 0] Branch { DstBlock "Task Executer" DstPort 10 } Branch { Points [1, 350] Branch { DstBlock "defcon" DstPort 7 } Branch { Points [0, 55] DstBlock "Role assigner" DstPort 1 } } } Line { SrcBlock "motionbus" SrcPort 1 DstBlock "Task Executer" DstPort 4 } Line { SrcBlock "Task Executer" SrcPort 1 DstBlock "AHparStruct bus" DstPort 1 } Line { SrcBlock "Task Executer" SrcPort 2 DstBlock "Goto8" DstPort 1 } Line { SrcBlock "Task Executer" SrcPort 4 Points [25, 0; 0, 70] DstBlock "sf_mu" DstPort 1 } Line { SrcBlock "sensorfusionbus" SrcPort 1 Points [150, 0] Branch { DstBlock "Task Executer" DstPort 3 } Branch { Points [0, 385] Branch { Points [455, 0; 0, -70] DstBlock "sf_mu" DstPort 2 } Branch { Points [0, 75] Branch { Points [0, 255] DstBlock "Role assigner" DstPort 6 } Branch { DstBlock "defcon" DstPort 3 } } } } Line { SrcBlock "Role assigner" SrcPort 5 Points [125, 0] Branch { DstBlock "Bus assigner2" DstPort 6 } Branch { Points [0, 40] DstBlock "Goto1" DstPort 1 } } Line { SrcBlock "sf_mu" SrcPort 1 Points [-25, 0; 0, -55] DstBlock "Task Executer" DstPort 12 } Line { SrcBlock "Role assigner" SrcPort 1 DstBlock "Bus assigner2" DstPort 2 } Line { SrcBlock "Role assigner" SrcPort 2 DstBlock "Bus assigner2" DstPort 3 } Line { SrcBlock "Role assigner" SrcPort 4 DstBlock "Bus assigner2" DstPort 5 } Line { SrcBlock "defcon" SrcPort 1 Points [10, 0] Branch { Points [0, -120; -400, 0; 0, -125] DstBlock "Task Executer" DstPort 11 } Branch { Points [0, 105; -370, 0] DstBlock "Role assigner" DstPort 4 } } Line { SrcBlock "Role assigner" SrcPort 3 DstBlock "Bus assigner2" DstPort 4 } Line { SrcBlock "Bus assigner2" SrcPort 1 DstBlock "strategybus" DstPort 1 } Line { SrcBlock "Motion tuning" SrcPort 1 Points [65, 0] Branch { DstBlock "Task Executer" DstPort 1 } Branch { Points [0, 470] DstBlock "defcon" DstPort 1 } } Line { SrcBlock "Task Executer" SrcPort 3 Points [165, 0; 0, 440] DstBlock "Bus assigner2" DstPort 1 } } } Block { BlockType SubSystem Name "team, worldmodel comm" SID "1491" Ports [2, 1] Position [120, 382, 245, 498] BackgroundColor "red" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "team, worldmodel comm" Location [8, 81, 472, 740] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "strategybus" SID "1492" Position [55, 133, 85, 147] ForegroundColor "orange" IconDisplay "Port number" } Block { BlockType Inport Name "TRCbus" SID "3811" Position [55, 318, 85, 332] ForegroundColor "orange" Port "2" IconDisplay "Port number" } Block { BlockType S-Function Name "CPBteam, CPPAteam,\ndynamic role switching" SID "1495" Ports [2, 1] Position [125, 45, 290, 420] FunctionName "mccomm_teamstatus" EnableBusSupport off } Block { BlockType Outport Name "teambus" SID "1504" Position [385, 223, 415, 237] IconDisplay "Port number" } Line { SrcBlock "CPBteam, CPPAteam,\ndynamic role switching" SrcPort 1 Points [0, -5] DstBlock "teambus" DstPort 1 } Line { SrcBlock "strategybus" SrcPort 1 DstBlock "CPBteam, CPPAteam,\ndynamic role switching" DstPort 1 } Line { SrcBlock "TRCbus" SrcPort 1 DstBlock "CPBteam, CPPAteam,\ndynamic role switching" DstPort 2 } } } Block { BlockType Outport Name "TRCbus" SID "1505" Position [1165, 248, 1195, 262] BackgroundColor "lightBlue" IconDisplay "Port number" } Block { BlockType Outport Name "controlbus" SID "1506" Position [1165, 533, 1195, 547] BackgroundColor "gray" Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Motion_tuning_bus" SID "1507" Position [1165, 288, 1195, 302] Port "3" IconDisplay "Port number" } Line { SrcBlock "motionbus" SrcPort 1 Points [10, 0] Branch { Points [260, 0] Branch { Points [0, 390] DstBlock "sensor fusion" DstPort 1 } Branch { Points [240, 0] Branch { Points [185, 0] Branch { Points [0, 0; 0, 440] DstBlock "actions" DstPort 4 } Branch { Points [230, 0] DstBlock "control" DstPort 1 } } Branch { DstBlock "strategy" DstPort 10 } } } Branch { Points [0, 25] DstBlock "comm output + vision input" DstPort 1 } } Line { SrcBlock "TRC comm" SrcPort 1 Points [50, 0] Branch { Points [245, 0] Branch { Points [205, 0] Branch { Points [0, 285] DstBlock "actions" DstPort 5 } Branch { Points [220, 0] Branch { DstBlock "TRCbus" DstPort 1 } Branch { Points [0, 235] DstBlock "control" DstPort 2 } } } Branch { Points [0, 225] DstBlock "strategy" DstPort 2 } } Branch { Labels [2, 0] Points [0, 340] DstBlock "sensor fusion" DstPort 6 } Branch { Points [0, -25; -195, 0] Branch { DstBlock "comm output + vision input" DstPort 3 } Branch { DstBlock "team, worldmodel comm" DstPort 2 } } } Line { SrcBlock "comm output + vision input" SrcPort 1 Points [30, 0] Branch { Points [255, 0] Branch { Points [240, 0] Branch { Points [170, 0; 0, 390] DstBlock "control" DstPort 4 } Branch { DstBlock "actions" DstPort 1 } } Branch { Points [0, 350] DstBlock "strategy" DstPort 3 } } Branch { Points [0, 345] DstBlock "sensor fusion" DstPort 2 } } Line { SrcBlock "TRC comm" SrcPort 2 Points [280, 0] Branch { Points [210, 0] Branch { Points [0, 205] DstBlock "actions" DstPort 3 } Branch { Points [215, 0] Branch { DstBlock "Motion_tuning_bus" DstPort 1 } Branch { Points [0, 220] DstBlock "control" DstPort 3 } } } Branch { Points [0, 225] DstBlock "strategy" DstPort 4 } } Line { SrcBlock "TRC comm" SrcPort 3 Points [70, 0] Branch { Points [205, 0] Branch { Points [240, 0; 0, 145] DstBlock "actions" DstPort 2 } Branch { Points [0, 205] DstBlock "strategy" DstPort 5 } } Branch { Points [0, 185] DstBlock "sensor fusion" DstPort 3 } } Line { SrcBlock "actions" SrcPort 1 Points [15, 0] Branch { Points [0, 150; -405, 0] Branch { DstBlock "Memory2" DstPort 1 } Branch { Points [-190, 0] Branch { Points [-260, 0; 0, -580] DstBlock "comm output + vision input" DstPort 2 } Branch { DstBlock "Memory8" DstPort 1 } } } Branch { Points [55, 0] DstBlock "control" DstPort 5 } } Line { SrcBlock "Memory2" SrcPort 1 Points [0, -75] DstBlock "strategy" DstPort 7 } Line { SrcBlock "control" SrcPort 1 Points [0, 0] Branch { DstBlock "controlbus" DstPort 1 } Branch { Points [0, 165; -365, 0] Branch { DstBlock "Memory1" DstPort 1 } Branch { Points [-220, 0] Branch { DstBlock "Memory3" DstPort 1 } Branch { Points [-255, 0] Branch { Points [-210, 0; 0, -555] DstBlock "comm output + vision input" DstPort 4 } Branch { DstBlock "Memory6" DstPort 1 } } } } } Line { SrcBlock "team, worldmodel comm" SrcPort 1 Points [40, 0] Branch { Points [0, 105] DstBlock "sensor fusion" DstPort 4 } Branch { Points [265, 0] Branch { Points [0, 20] DstBlock "strategy" DstPort 1 } Branch { Points [180, 0; 0, 110; 40, 0] DstBlock "actions" DstPort 6 } } Branch { Points [0, -65; -190, 0; 0, -180] DstBlock "comm output + vision input" DstPort 7 } } Line { SrcBlock "Memory1" SrcPort 1 Points [0, -15] DstBlock "actions" DstPort 10 } Line { SrcBlock "LaserRangeFinders" SrcPort 1 DstBlock "sensor fusion" DstPort 5 } Line { SrcBlock "Memory3" SrcPort 1 Points [0, -55] DstBlock "strategy" DstPort 8 } Line { SrcBlock "strategy" SrcPort 2 Points [5, 0] Branch { Points [50, 0] DstBlock "actions" DstPort 9 } Branch { Points [0, 95] Branch { Points [-155, 0] Branch { DstBlock "Memory4" DstPort 1 } Branch { Points [-475, 0; 0, -285] Branch { Points [0, -230] DstBlock "comm output + vision input" DstPort 6 } Branch { DstBlock "team, worldmodel comm" DstPort 1 } } } Branch { Points [230, 0; 0, -105] DstBlock "control" DstPort 6 } } } Line { SrcBlock "sensor fusion" SrcPort 1 Points [10, 0] Branch { Points [0, 130] Branch { Points [230, 0] Branch { Points [0, -90] DstBlock "actions" DstPort 8 } Branch { Points [220, 0; 0, -75] DstBlock "control" DstPort 7 } } Branch { Points [-425, 0; 0, -525] DstBlock "comm output + vision input" DstPort 5 } } Branch { DstBlock "strategy" DstPort 6 } } Line { SrcBlock "strategy" SrcPort 1 Points [5, 0; 0, 75] DstBlock "actions" DstPort 7 } Line { SrcBlock "Memory4" SrcPort 1 Points [0, -35] DstBlock "strategy" DstPort 9 } Line { SrcBlock "Memory6" SrcPort 1 Points [0, -30] DstBlock "sensor fusion" DstPort 7 } Line { SrcBlock "Memory8" SrcPort 1 Points [0, -5] DstBlock "sensor fusion" DstPort 8 } } } Line { SrcBlock "Clock" SrcPort 1 DstBlock "Actual time in s" DstPort 1 } Line { SrcBlock "strategy & control" SrcPort 1 DstBlock "decoupled controlled robot" DstPort 1 } Line { SrcBlock "decoupled controlled robot" SrcPort 1 Points [20, 0; 0, 145; -480, 0; 0, -145] DstBlock "strategy & control" DstPort 1 } Line { SrcBlock "Step" SrcPort 1 Points [60, 0] DstBlock "strategy & control" DstPort enable } Line { SrcBlock "strategy & control" SrcPort 3 DstBlock "decoupled controlled robot" DstPort 3 } Line { SrcBlock "strategy & control" SrcPort 2 DstBlock "decoupled controlled robot" DstPort 2 } Annotation { SID "1508" Name "This scheme has to be used with \nthe scheme find_ball from vision\nwhich delivers the coordinates " "\n(i.e. radius and angle to the ball) \nof the ball such that the robot will\ngo to the ball face forward" Position [665, 181] } } }